OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_defines.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 cores Definitions                                      ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  8051 definitions.                                           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   Nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////      - Jaka Simsic, jakas@opencores.org                      ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// ver: 1
46
//
47
 
48
 
49
//
50
// operation codes for alu
51
//
52
 
53
 
54
`define OC8051_ALU_NOP 4'b0000
55
`define OC8051_ALU_ADD 4'b0001
56
`define OC8051_ALU_SUB 4'b0010
57
`define OC8051_ALU_MUL 4'b0011
58
`define OC8051_ALU_DIV 4'b0100
59
`define OC8051_ALU_DA 4'b0101
60
`define OC8051_ALU_NOT 4'b0110
61
`define OC8051_ALU_AND 4'b0111
62
`define OC8051_ALU_XOR 4'b1000
63
`define OC8051_ALU_OR 4'b1001
64
`define OC8051_ALU_RL 4'b1010
65
`define OC8051_ALU_RLC 4'b1011
66
`define OC8051_ALU_RR 4'b1100
67
`define OC8051_ALU_RRC 4'b1101
68
`define OC8051_ALU_PCS 4'b1110
69
`define OC8051_ALU_XCH 4'b1111
70
 
71
//
72
// sfr addresses
73
//
74
 
75
`define OC8051_SFR_ACC 8'he0 //accumulator
76
`define OC8051_SFR_B 8'hf0 //b register
77
`define OC8051_SFR_PSW 8'hd0 //program status word
78
`define OC8051_SFR_P0 8'h80 //port 0
79
`define OC8051_SFR_P1 8'h90 //port 1
80
`define OC8051_SFR_P2 8'ha0 //port 2
81
`define OC8051_SFR_P3 8'hb0 //port 3
82
`define OC8051_SFR_DPTR_LO 8'h82 // data pointer high bits
83
`define OC8051_SFR_DPTR_HI 8'h83 // data pointer low bits
84
`define OC8051_SFR_IP 8'hb8 // interrupt priority control
85
`define OC8051_SFR_IE 8'ha8 // interrupt enable control
86
`define OC8051_SFR_TMOD 8'h89 // timer/counter mode
87
`define OC8051_SFR_TCON 8'h88 // timer/counter control
88
`define OC8051_SFR_TH0 8'h8c // timer/counter 0 high bits
89
`define OC8051_SFR_TL0 8'h8a // timer/counter 0 low bits
90
`define OC8051_SFR_TH1 8'h8d // timer/counter 1 high bits
91
`define OC8051_SFR_TL1 8'h8b // timer/counter 1 low bits
92
`define OC8051_SFR_SCON 8'h98 // serial control
93
`define OC8051_SFR_SBUF 8'h99 // serial data buffer
94
`define OC8051_SFR_PCON 8'h87 // power control
95
`define OC8051_SFR_SP 8'h81 // stack pointer
96
 
97
//
98
// sfr bit addresses
99
//
100
`define OC8051_SFR_B_ACC 5'b11100 //accumulator
101
`define OC8051_SFR_B_PSW 5'b11010 //program status word
102
`define OC8051_SFR_B_P0  5'b10000 //port 0
103
`define OC8051_SFR_B_P1  5'b10010 //port 1
104
`define OC8051_SFR_B_P2  5'b10100 //port 2
105
`define OC8051_SFR_B_P3  5'b10110 //port 3
106
`define OC8051_SFR_B_B   5'b11110 // b register
107
`define OC8051_SFR_B_IP  5'b10111 // interrupt priority control
108
`define OC8051_SFR_B_IE  5'b10101 // interrupt enable control
109
`define OC8051_SFR_B_SCON 5'b10011 // serial control
110
`define OC8051_SFR_B_TCON 5'b10001 // timer/counter control
111
 
112
//
113
// alu source select
114
//
115
`define OC8051_ASS_RAM 2'b00 // RAM
116
`define OC8051_ASS_ACC 2'b01 // accumulator
117
`define OC8051_ASS_XRAM 2'b10 // external RAM -- source1
118
`define OC8051_ASS_ZERO 2'b10 // 8'h00 -- source2
119
`define OC8051_ASS_IMM 2'b11 // immediate data -- source1
120
`define OC8051_ASS_DC 2'b00 //
121
 
122
//
123
// alu source 3 select
124
//
125
`define OC8051_AS3_PC 1'b1 // program clunter
126
`define OC8051_AS3_DP 1'b0 // data pointer
127
`define OC8051_AS3_DC 1'b0 //
128
 
129
//
130
//carry input in alu
131
//
132
`define OC8051_CY_0 2'b00 // 1'b0;
133
`define OC8051_CY_PSW 2'b01 // carry from psw
134
`define OC8051_CY_RAM 2'b10 // carry from ram
135
`define OC8051_CY_1 2'b11 // 1'b1;
136
`define OC8051_CY_DC 2'b00 // carry from psw
137
 
138
//
139
// instruction set
140
//
141
 
142
//op_code [4:0]
143
`define OC8051_ACALL 8'bxxx1_0001 // absolute call
144
`define OC8051_AJMP 8'bxxx0_0001 // absolute jump
145
 
146
//op_code [7:3]
147
`define OC8051_ADD_R 8'b0010_1xxx // add A=A+Rx
148
`define OC8051_ADDC_R 8'b0011_1xxx // add A=A+Rx+c
149
`define OC8051_ANL_R 8'b0101_1xxx // and A=A^Rx
150
`define OC8051_CJNE_R 8'b1011_1xxx // compare and jump if not equal; Rx<>constant
151
`define OC8051_DEC_R 8'b0001_1xxx // decrement reg Rn=Rn-1
152
`define OC8051_DJNZ_R 8'b1101_1xxx // decrement and jump if not zero
153
`define OC8051_INC_R 8'b0000_1xxx // increment Rn
154
`define OC8051_MOV_R 8'b1110_1xxx // move A=Rn
155
`define OC8051_MOV_AR 8'b1111_1xxx // move Rn=A
156
`define OC8051_MOV_DR 8'b1010_1xxx // move Rn=(direct)
157
`define OC8051_MOV_CR 8'b0111_1xxx // move Rn=constant
158
`define OC8051_MOV_RD 8'b1000_1xxx // move (direct)=Rn
159
`define OC8051_ORL_R 8'b0100_1xxx // or A=A or Rn
160
`define OC8051_SUBB_R 8'b1001_1xxx // substract with borrow  A=A-c-Rn
161
`define OC8051_XCH_R 8'b1100_1xxx // exchange A<->Rn
162
`define OC8051_XRL_R 8'b0110_1xxx // XOR A=A XOR Rn
163
 
164
//op_code [7:1]
165
`define OC8051_ADD_I 8'b0010_011x // add A=A+@Ri
166
`define OC8051_ADDC_I 8'b0011_011x // add A=A+@Ri+c
167
`define OC8051_ANL_I 8'b0101_011x // and A=A^@Ri
168
`define OC8051_CJNE_I 8'b1011_011x // compare and jump if not equal; @Ri<>constant
169
`define OC8051_DEC_I 8'b0001_011x // decrement indirect @Ri=@Ri-1
170
`define OC8051_INC_I 8'b0000_011x // increment @Ri
171
`define OC8051_MOV_I 8'b1110_011x // move A=@Ri
172
`define OC8051_MOV_ID 8'b1000_011x // move (direct)=@Ri
173
`define OC8051_MOV_AI 8'b1111_011x // move @Ri=A
174
`define OC8051_MOV_DI 8'b1010_011x // move @Ri=(direct)
175
`define OC8051_MOV_CI 8'b0111_011x // move @Ri=constant
176
`define OC8051_MOVX_IA 8'b1110_001x // move A=(@Ri)
177
`define OC8051_MOVX_AI 8'b1111_001x // move (@Ri)=A
178
`define OC8051_ORL_I 8'b0100_011x // or A=A or @Ri
179
`define OC8051_SUBB_I 8'b1001_011x // substract with borrow  A=A-c-@Ri
180
`define OC8051_XCH_I 8'b1100_011x // exchange A<->@Ri
181
`define OC8051_XCHD 8'b1101_011x // exchange digit A<->Ri
182
`define OC8051_XRL_I 8'b0110_011x // XOR A=A XOR @Ri
183
 
184
//op_code [7:0]
185
`define OC8051_ADD_D 8'b0010_0101 // add A=A+(direct)
186
`define OC8051_ADD_C 8'b0010_0100 // add A=A+constant
187
`define OC8051_ADDC_D 8'b0011_0101 // add A=A+(direct)+c
188
`define OC8051_ADDC_C 8'b0011_0100 // add A=A+constant+c
189
`define OC8051_ANL_D 8'b0101_0101 // and A=A^(direct)
190
`define OC8051_ANL_C 8'b0101_0100 // and A=A^constant
191
`define OC8051_ANL_DD 8'b0101_0010 // and (direct)=(direct)^A
192
`define OC8051_ANL_DC 8'b0101_0011 // and (direct)=(direct)^constant
193
`define OC8051_ANL_B 8'b1000_0010 // and c=c^bit
194
`define OC8051_ANL_NB 8'b1011_0000 // and c=c^!bit
195
`define OC8051_CJNE_D 8'b1011_0101 // compare and jump if not equal; a<>(direct)
196
`define OC8051_CJNE_C 8'b1011_0100 // compare and jump if not equal; a<>constant
197
`define OC8051_CLR_A 8'b1110_0100 // clear accumulator
198
`define OC8051_CLR_C 8'b1100_0011 // clear carry
199
`define OC8051_CLR_B 8'b1100_0010 // clear bit
200
`define OC8051_CPL_A 8'b1111_0100 // complement accumulator
201
`define OC8051_CPL_C 8'b1011_0011 // complement carry
202
`define OC8051_CPL_B 8'b1011_0010 // complement bit
203
`define OC8051_DA 8'b1101_0100 // decimal adjust (A)
204
`define OC8051_DEC_A 8'b0001_0100 // decrement accumulator a=a-1
205
`define OC8051_DEC_D 8'b0001_0101 // decrement direct (direct)=(direct)-1
206
`define OC8051_DIV 8'b1000_0100 // divide
207
`define OC8051_DJNZ_D 8'b1101_0101 // decrement and jump if not zero (direct)
208
`define OC8051_INC_A 8'b0000_0100 // increment accumulator
209
`define OC8051_INC_D 8'b0000_0101 // increment (direct)
210
`define OC8051_INC_DP 8'b1010_0011 // increment data pointer
211
`define OC8051_JB 8'b0010_0000 // jump if bit set
212
`define OC8051_JBC 8'b0001_0000 // jump if bit set and clear bit
213
`define OC8051_JC 8'b0100_0000 // jump if carry is set
214
`define OC8051_JMP 8'b0111_0011 // jump indirect
215
`define OC8051_JNB 8'b0011_0000 // jump if bit not set
216
`define OC8051_JNC 8'b0101_0000 // jump if carry not set
217
`define OC8051_JNZ 8'b0111_0000 // jump if accumulator not zero
218
`define OC8051_JZ 8'b0110_0000 // jump if accumulator zero
219
`define OC8051_LCALL 8'b0001_0010 // long call
220
`define OC8051_LJMP 8'b0000_0010 // long jump
221
`define OC8051_MOV_D 8'b1110_0101 // move A=(direct)
222
`define OC8051_MOV_C 8'b0111_0100 // move A=constant
223
`define OC8051_MOV_DA 8'b1111_0101 // move (direct)=A
224
`define OC8051_MOV_DD 8'b1000_0101 // move (direct)=(direct)
225
`define OC8051_MOV_CD 8'b0111_0101 // move (direct)=constant
226
`define OC8051_MOV_BC 8'b1010_0010 // move c=bit
227
`define OC8051_MOV_CB 8'b1001_0010 // move bit=c
228
`define OC8051_MOV_DP 8'b1001_0000 // move dptr=constant(16 bit)
229
`define OC8051_MOVC_DP 8'b1001_0011 // move A=dptr+A
230
`define OC8051_MOVC_PC 8'b1000_0011 // move A=pc+A
231
`define OC8051_MOVX_PA 8'b1110_0000 // move A=(dptr)
232
`define OC8051_MOVX_AP 8'b1111_0000 // move (dptr)=A
233
`define OC8051_MUL 8'b1010_0100 // multiply a*b
234
`define OC8051_NOP 8'b0000_0000 // no operation
235
`define OC8051_ORL_D 8'b0100_0101 // or A=A or (direct)
236
`define OC8051_ORL_C 8'b0100_0100 // or A=A or constant
237
`define OC8051_ORL_AD 8'b0100_0010 // or (direct)=(direct) or A
238
`define OC8051_ORL_CD 8'b0100_0011 // or (direct)=(direct) or constant
239
`define OC8051_ORL_B 8'b0111_0010 // or c = c or bit
240
`define OC8051_ORL_NB 8'b1010_0000 // or c = c or !bit
241
`define OC8051_POP 8'b1101_0000 // stack pop
242
`define OC8051_PUSH 8'b1100_0000 // stack push
243
`define OC8051_RET 8'b0010_0010 // return from subrutine
244
`define OC8051_RETI 8'b0011_0010 // return from interrupt
245
`define OC8051_RL 8'b0010_0011 // rotate left
246
`define OC8051_RLC 8'b0011_0011 // rotate left thrugh carry
247
`define OC8051_RR 8'b0000_0011 // rotate right
248
`define OC8051_RRC 8'b0001_0011 // rotate right thrugh carry
249
`define OC8051_SETB_C 8'b1101_0011 // set carry
250
`define OC8051_SETB_B 8'b1101_0010 // set bit
251
`define OC8051_SJMP 8'b1000_0000 // short jump
252
`define OC8051_SUBB_D 8'b1001_0101 // substract with borrow  A=A-c-(direct)
253
`define OC8051_SUBB_C 8'b1001_0100 // substract with borrow  A=A-c-constant
254
`define OC8051_SWAP 8'b1100_0100 // swap A(0-3) <-> A(4-7)
255
`define OC8051_XCH_D 8'b1100_0101 // exchange A<->(direct)
256
`define OC8051_XRL_D 8'b0110_0101 // XOR A=A XOR (direct)
257
`define OC8051_XRL_C 8'b0110_0100 // XOR A=A XOR constant
258
`define OC8051_XRL_AD 8'b0110_0010 // XOR (direct)=(direct) XOR A
259
`define OC8051_XRL_CD 8'b0110_0011 // XOR (direct)=(direct) XOR constant
260
 
261
 
262
//
263
// default values (used after reset)
264
//
265
`define OC8051_RST_PC 16'h0000 // program counter
266
`define OC8051_RST_ACC 8'h00 // accumulator
267
`define OC8051_RST_B 8'h00 // b register
268
`define OC8051_RST_PSW 8'h00 // program status word
269
`define OC8051_RST_SP 8'b0000_0111 // stack pointer
270
`define OC8051_RST_DPH 8'h00 // data pointer (high)
271
`define OC8051_RST_DPL 8'h00 // data pointer (low)
272
`define OC8051_RST_P0 8'b1111_1111 // port 0
273
`define OC8051_RST_P1 8'b1111_1111 // port 1
274
`define OC8051_RST_P2 8'b1111_1111 // port 2
275
`define OC8051_RST_P3 8'b1111_1111 // port 3
276
`define OC8051_RST_IP 8'b0000_0000 // interrupt priority
277
`define OC8051_RST_IE 8'b0000_0000 // interrupt enable
278
`define OC8051_RST_TMOD 8'b0000_0000 // timer/counter mode control
279
`define OC8051_RST_TCON 8'b0000_0000 // timer/counter control
280
`define OC8051_RST_TH0 8'b0000_0000 // timer/counter 0 high bits
281
`define OC8051_RST_TL0 8'b0000_0000 // timer/counter 0 low bits
282
`define OC8051_RST_TH1 8'b0000_0000 // timer/counter 1 high bits
283
`define OC8051_RST_TL1 8'b0000_0000 // timer/counter 1 low bits
284
`define OC8051_RST_SCON 8'b0000_0000 // serial control
285
`define OC8051_RST_SBUF 8'b0000_0000 // serial data buffer
286
`define OC8051_RST_PCON 8'b0000_0000 // power control register
287
 
288
//
289
// ram read select
290
//
291
 
292
`define OC8051_RRS_RN 2'b00 // registers
293
`define OC8051_RRS_I 2'b01 // indirect addressing
294
`define OC8051_RRS_D 2'b10 // direct addressing
295
`define OC8051_RRS_SP 2'b11 // stack pointer
296
`define OC8051_RRS_DC 2'b00 // don't c
297
 
298
//
299
// ram write select
300
//
301
 
302
`define OC8051_RWS_RN 3'b000 // registers
303
`define OC8051_RWS_D 3'b001 // direct addressing
304
`define OC8051_RWS_I 3'b010 // indirect addressing
305
`define OC8051_RWS_SP 3'b011 // stack pointer
306
`define OC8051_RWS_ACC 3'b100 // accumulator
307
`define OC8051_RWS_D3 3'b101 // direct address (op3)
308
`define OC8051_RWS_DPTR 3'b110 // data pointer (high + low)
309
`define OC8051_RWS_B 3'b111 // b register
310
`define OC8051_RWS_DC 3'b000 //
311
 
312
//
313
// immediate data select
314
//
315
 
316
`define OC8051_IDS_OP2 3'b000 // operand 2
317
`define OC8051_IDS_OP3 3'b001 // operand 3
318
`define OC8051_IDS_PCH 3'b010 // pc high
319
`define OC8051_IDS_PCL 3'b011 // pc low
320
`define OC8051_IDS_OP3_PCL 3'b100 // op3 and pc low
321
`define OC8051_IDS_OP3_OP2 3'b101 // op3 and op2
322
`define OC8051_IDS_OP2_PCL 3'b110 // op2 and PC LOW
323
`define OC8051_IDS_OP1 3'b111 // operand 1
324
`define OC8051_IDS_DC 3'b000 //
325
 
326
 
327
//
328
// pc in select
329
//
330
`define OC8051_PIS_DC 2'b00 // dont c
331
`define OC8051_PIS_SP 2'b00 // stack ( des1 -- serial)
332
`define OC8051_PIS_ALU 2'b01 // alu {des1, des2}
333
`define OC8051_PIS_I11 2'b10 // 11 bit immediate
334
`define OC8051_PIS_I16 2'b11 // 16 bit immediate
335
 
336
//
337
// compare source select
338
//
339 9 markom
`define OC8051_CSS_AZ 2'b00 // eq = accumulator == zero
340
`define OC8051_CSS_DES 2'b01 // eq = destination == zero
341
`define OC8051_CSS_CY 2'b10 // eq = cy
342
`define OC8051_CSS_BIT 2'b11 // eq = b_in
343
`define OC8051_CSS_DC 2'b00 // don't care
344 2 simont
 
345
 
346
//
347
// pc Write
348
//
349
`define OC8051_PCW_N 1'b0 // not
350
`define OC8051_PCW_Y 1'b1 // yes
351
 
352
//
353
//psw set
354
//
355
`define OC8051_PS_NOT 2'b00 // DONT
356
`define OC8051_PS_CY 2'b01 // only carry
357
`define OC8051_PS_OV 2'b10 // carry and overflov
358
`define OC8051_PS_AC 2'b11 // carry, overflov an ac...
359
 
360
//
361
// rom address select
362
//
363
`define OC8051_RAS_PC 1'b0 // program counter
364
`define OC8051_RAS_DES 1'b1 // alu destination
365
 
366
//
367
// write accumulator
368
//
369
`define OC8051_WA_N 1'b0 // not
370
`define OC8051_WA_Y 1'b1 // yes
371
 
372
 
373
//
374
//external ram address select
375
//
376
`define OC8051_EAS_DPTR 1'b0 // data pointer
377
`define OC8051_EAS_RI 1'b1 // register R0 or R1
378
`define OC8051_EAS_DC 1'b0
379
 
380
//
381
//write ac from des2
382
//
383
`define OC8051_WAD_N 1'b0 //
384
`define OC8051_WAD_Y 1'b1 //
385
 
386
 
387
 
388
////////////////////////////////////////////////////
389
 
390
//
391
// Timer/Counter modes
392
//
393
 
394
`define OC8051_MODE0 2'b00  // mode 0
395
`define OC8051_MODE1 2'b01  // mode 0
396
`define OC8051_MODE2 2'b10  // mode 0
397
`define OC8051_MODE3 2'b11  // mode 0
398
 
399
 
400
//
401
// Interrupt numbers (vectors)
402
//
403
 
404
`define OC8051_INT_T0   8'h0b  // T/C 0 owerflow interrupt
405
`define OC8051_INT_T1   8'h1b  // T/C 1 owerflow interrupt
406
`define OC8051_INT_X0   8'h03  // external interrupt 0
407
`define OC8051_INT_X1   8'h13  // external interrupt 1
408
`define OC8051_INT_UART 8'h23  // interrupt from uart
409
 
410
//
411
// interrupt levels
412
//
413
 
414
`define OC8051_ILEV_NO 2'b00  // no interrupts
415
`define OC8051_ILEV_L0 2'b01  // interrupt on level 0
416
`define OC8051_ILEV_L1 2'b10  // interrupt on level 1
417
 
418
//
419
// interrupt sources
420
//
421
`define OC8051_ISRC_NO   3'b000  // no interrupts
422
`define OC8051_ISRC_IE0  3'b001  // EXTERNAL INTERRUPT 0
423
`define OC8051_ISRC_TF0  3'b010  // t/c owerflov 0
424
`define OC8051_ISRC_IE1  3'b011  // EXTERNAL INTERRUPT 1
425
`define OC8051_ISRC_TF1  3'b100  // t/c owerflov 1
426
`define OC8051_ISRC_UART 3'b101  // UART Interrupt
427
 
428
 
429
 
430
//
431
// miscellaneus
432
//
433
 
434
`define OC8051_RW0 1'b1
435
`define OC8051_RW1 1'b0
436
 
437
 
438
//
439
// read modify write instruction
440
//
441
 
442
`define OC8051_RMW_Y 1'b1  // yes
443
`define OC8051_RMW_N 1'b0  // no

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.