OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_top.v] - Blame information for rev 134

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 72 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 cores top level module                                 ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  8051 definitions.                                           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////    nothing                                                   ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46 54 simont
// $Log: not supported by cvs2svn $
47 134 simont
// Revision 1.25  2003/04/25 17:15:51  simont
48
// change branch instruction execution (reduse needed clock periods).
49
//
50 132 simont
// Revision 1.24  2003/04/11 10:05:59  simont
51
// deifne OC8051_ROM added
52
//
53 122 simont
// Revision 1.23  2003/04/10 12:43:19  simont
54
// defines for pherypherals added
55
//
56 120 simont
// Revision 1.22  2003/04/09 16:24:04  simont
57
// change wr_sft to 2 bit wire.
58
//
59 118 simont
// Revision 1.21  2003/04/09 15:49:42  simont
60
// Register oc8051_sfr dato output, add signal wait_data.
61
//
62 117 simont
// Revision 1.20  2003/04/03 19:13:28  simont
63
// Include instruction cache.
64
//
65 107 simont
// Revision 1.19  2003/04/02 15:08:30  simont
66
// raname signals.
67
//
68 102 simont
// Revision 1.18  2003/01/13 14:14:41  simont
69
// replace some modules
70
//
71 82 simont
// Revision 1.17  2002/11/05 17:23:54  simont
72
// add module oc8051_sfr, 256 bytes internal ram
73
//
74 76 simont
// Revision 1.16  2002/10/28 14:55:00  simont
75
// fix bug in interface to external data ram
76
//
77 72 simont
// Revision 1.15  2002/10/23 16:53:39  simont
78
// fix bugs in instruction interface
79
//
80 62 simont
// Revision 1.14  2002/10/17 18:50:00  simont
81
// cahnge interface to instruction rom
82
//
83 54 simont
// Revision 1.13  2002/09/30 17:33:59  simont
84
// prepared header
85 72 simont
//
86
//
87
 
88
// synopsys translate_off
89
`include "oc8051_timescale.v"
90
// synopsys translate_on
91
 
92
 
93 102 simont
module oc8051_top (wb_rst_i, wb_clk_i,
94
//interface to instruction rom
95 120 simont
                wbi_adr_o,
96
                wbi_dat_i,
97
                wbi_stb_o,
98
                wbi_ack_i,
99
                wbi_cyc_o,
100
                wbi_err_i,
101
 
102 102 simont
//interface to data ram
103 120 simont
                wbd_dat_i,
104
                wbd_dat_o,
105
                wbd_adr_o,
106
                wbd_we_o,
107 132 simont
                wbd_ack_i,
108 120 simont
                wbd_stb_o,
109
                wbd_cyc_o,
110
                wbd_err_i,
111
 
112 102 simont
// interrupt interface
113 120 simont
                int0_i,
114
                int1_i,
115
 
116 102 simont
// external access (active low)
117
                ea_in,
118 120 simont
 
119 102 simont
// port interface
120 120 simont
  `ifdef OC8051_PORTS
121
        `ifdef OC8051_PORT0
122
                p0_i,
123
                p0_o,
124
        `endif
125
 
126
        `ifdef OC8051_PORT1
127
                p1_i,
128
                p1_o,
129
        `endif
130
 
131
        `ifdef OC8051_PORT2
132
                p2_i,
133
                p2_o,
134
        `endif
135
 
136
        `ifdef OC8051_PORT3
137
                p3_i,
138
                p3_o,
139
        `endif
140
  `endif
141
 
142 102 simont
// serial interface
143 120 simont
        `ifdef OC8051_UART
144 102 simont
                rxd_i, txd_o,
145 120 simont
        `endif
146
 
147 102 simont
// counter interface
148 120 simont
        `ifdef OC8051_TC01
149
                t0_i, t1_i,
150
        `endif
151 72 simont
 
152 120 simont
        `ifdef OC8051_TC2
153
                t2_i, t2ex_i
154
        `endif
155
                );
156 72 simont
 
157
 
158 120 simont
 
159 102 simont
input         wb_rst_i,         // reset input
160
              wb_clk_i,         // clock input
161
              int0_i,           // interrupt 0
162
              int1_i,           // interrupt 1
163
              ea_in,            // external access
164
              wbd_ack_i,        // data acknowalge
165
              wbi_ack_i,        // instruction acknowlage
166
              wbd_err_i,        // data error
167 120 simont
              wbi_err_i;        // instruction error
168 72 simont
 
169 120 simont
input [7:0]   wbd_dat_i; // ram data input
170 102 simont
input [31:0]  wbi_dat_i; // rom data input
171 72 simont
 
172 102 simont
output        wbd_we_o,         // data write enable
173
              wbd_stb_o,        // data strobe
174
              wbd_cyc_o,        // data cycle
175
              wbi_stb_o,        // instruction strobe
176
              wbi_cyc_o;        // instruction cycle
177 82 simont
 
178 120 simont
output [7:0]  wbd_dat_o; // data output
179 102 simont
 
180
output [15:0] wbd_adr_o, // data address
181
              wbi_adr_o;        // instruction address
182
 
183 120 simont
`ifdef OC8051_PORTS
184 102 simont
 
185 120 simont
`ifdef OC8051_PORT0
186
input  [7:0]  p0_i;              // port 0 input
187
output [7:0]  p0_o;              // port 0 output
188
`endif
189 72 simont
 
190 120 simont
`ifdef OC8051_PORT1
191
input  [7:0]  p1_i;              // port 1 input
192
output [7:0]  p1_o;              // port 1 output
193
`endif
194 72 simont
 
195 120 simont
`ifdef OC8051_PORT2
196
input  [7:0]  p2_i;              // port 2 input
197
output [7:0]  p2_o;              // port 2 output
198
`endif
199 72 simont
 
200 120 simont
`ifdef OC8051_PORT3
201
input  [7:0]  p3_i;              // port 3 input
202
output [7:0]  p3_o;              // port 3 output
203
`endif
204 72 simont
 
205 120 simont
`endif
206 72 simont
 
207
 
208
 
209
 
210
 
211
 
212 120 simont
`ifdef OC8051_UART
213
input         rxd_i;            // receive
214
output        txd_o;            // transnmit
215
`endif
216 72 simont
 
217 120 simont
`ifdef OC8051_TC01
218
input         t0_i,             // counter 0 input
219
              t1_i;             // counter 1 input
220
`endif
221 72 simont
 
222 120 simont
`ifdef OC8051_TC2
223
input         t2_i,             // counter 2 input
224
              t2ex_i;           //
225
`endif
226 72 simont
 
227 120 simont
wire [7:0]  op1_i,
228
            op2_i,
229
            op3_i,
230
            dptr_hi,
231
            dptr_lo,
232
            ri,
233
            rn_mem,
234
            data_out,
235
            op1,
236
            op2,
237
            op3,
238
            acc,
239
            p0_out,
240
            p1_out,
241
            p2_out,
242
            p3_out,
243
            sp,
244
            sp_w;
245 72 simont
 
246 120 simont
wire [15:0] pc;
247 72 simont
 
248 120 simont
assign wbd_cyc_o = wbd_stb_o;
249 72 simont
 
250 120 simont
wire        src_sel3;
251
wire [1:0]  wr_sfr;
252
wire [2:0]  ram_rd_sel,  // ram read
253
            ram_wr_sel, // ram write
254
            src_sel2,
255
            src_sel1;
256
 
257
wire [7:0]  ram_data,
258
            ram_out,    //data from ram
259
            sfr_out,
260
            wr_dat,
261
            wr_addr,    //ram write addres
262
            rd_addr;    //data ram read addres
263
wire        sfr_bit;
264
 
265
wire [1:0]  cy_sel,      //carry select; from decoder to cy_selct1
266
            bank_sel;
267
wire        rom_addr_sel,       //rom addres select; alu or pc
268
            rmw,
269
            ea_int;
270
 
271
wire        reti,
272
            intr,
273
            int_ack,
274
            istb;
275
wire [7:0]  int_src;
276
 
277
wire        mem_wait;
278
wire [2:0]  mem_act;
279
wire [3:0]  alu_op;      //alu operation (from decoder)
280
wire [1:0]  psw_set;    //write to psw or not; from decoder to psw (through register)
281
 
282
wire [7:0]  src1,        //alu sources 1
283
            src2,       //alu sources 2
284
            src3,       //alu sources 3
285
            des1,       //alu destination 1
286 132 simont
            des2;       //alu destinations 2
287 120 simont
wire        desCy,      //carry out
288
            desAc,
289
            desOv,      //overflow
290
            alu_cy,
291
            wr,         //write to data ram
292
            wr_o;
293
 
294
wire        rd,         //read program rom
295
            pc_wr;
296
wire [2:0]  pc_wr_sel;   //program counter write select (from decoder to pc)
297
 
298
wire [7:0]  op1_n, //from memory_interface to decoder
299
            op2_n,
300
            op3_n;
301
 
302
wire [1:0]  comp_sel;    //select source1 and source2 to compare
303
wire        eq,         //result (from comp1 to decoder)
304
            srcAc,
305
            cy,
306
            rd_ind,
307 132 simont
            wr_ind,
308
            comp_wait;
309 120 simont
wire [2:0]  op1_cur;
310
 
311
wire        bit_addr,   //bit addresable instruction
312
            bit_data,   //bit data from ram to ram_select
313
            bit_out,    //bit data from ram_select to alu and cy_select
314
            bit_addr_o,
315
            wait_data;
316
 
317 72 simont
//
318 107 simont
// cpu to cache/wb_interface
319
wire        iack_i,
320
            istb_o,
321
            icyc_o;
322
wire [31:0] idat_i;
323
wire [15:0] iadr_o;
324 72 simont
 
325
 
326
//
327
// decoder
328 120 simont
oc8051_decoder oc8051_decoder1(.clk(wb_clk_i),
329
                               .rst(wb_rst_i),
330
                               .op_in(op1_n),
331
                               .op1_c(op1_cur),
332
                               .ram_rd_sel_o(ram_rd_sel),
333
                               .ram_wr_sel_o(ram_wr_sel),
334
                               .bit_addr(bit_addr),
335 72 simont
 
336 120 simont
                               .src_sel1(src_sel1),
337
                               .src_sel2(src_sel2),
338
                               .src_sel3(src_sel3),
339 72 simont
 
340 120 simont
                               .alu_op_o(alu_op),
341
                               .psw_set(psw_set),
342
                               .cy_sel(cy_sel),
343
                               .wr_o(wr),
344
                               .pc_wr(pc_wr),
345
                               .pc_sel(pc_wr_sel),
346
                               .comp_sel(comp_sel),
347
                               .eq(eq),
348
                               .wr_sfr_o(wr_sfr),
349
                               .rd(rd),
350
                               .rmw(rmw),
351
                               .istb(istb),
352
                               .mem_act(mem_act),
353
                               .mem_wait(mem_wait),
354
                               .wait_data(wait_data));
355
 
356
 
357 72 simont
//
358
//alu
359 120 simont
oc8051_alu oc8051_alu1(.rst(wb_rst_i),
360
                       .clk(wb_clk_i),
361
                       .op_code(alu_op),
362 132 simont
                       .src1(src1),
363 120 simont
                       .src2(src2),
364
                       .src3(src3),
365
                       .srcCy(alu_cy),
366
                       .srcAc(srcAc),
367 132 simont
                       .des1(des1),
368
                       .des2(des2),
369 120 simont
                       .desCy(desCy),
370
                       .desAc(desAc),
371
                       .desOv(desOv),
372
                       .bit_in(bit_out));
373 72 simont
 
374
//
375
//data ram
376 134 simont
oc8051_ram_top oc8051_ram_top1(.clk(wb_clk_i),
377 120 simont
                               .rst(wb_rst_i),
378
                               .rd_addr(rd_addr),
379
                               .rd_data(ram_data),
380
                               .wr_addr(wr_addr),
381
                               .bit_addr(bit_addr_o),
382
                               .wr_data(wr_dat),
383
                               .wr(wr_o && (!wr_addr[7] || wr_ind)),
384
                               .bit_data_in(desCy),
385
                               .bit_data_out(bit_data));
386 72 simont
 
387
//
388
 
389 120 simont
oc8051_alu_src_sel oc8051_alu_src_sel1(.clk(wb_clk_i),
390
                                       .rst(wb_rst_i),
391
                                       .rd(rd),
392 82 simont
 
393 120 simont
                                       .sel1(src_sel1),
394
                                       .sel2(src_sel2),
395
                                       .sel3(src_sel3),
396 82 simont
 
397 120 simont
                                       .acc(acc),
398
                                       .ram(ram_out),
399
                                       .pc(pc),
400
                                       .dptr({dptr_hi, dptr_lo}),
401
                                       .op1(op1_n),
402
                                       .op2(op2_n),
403
                                       .op3(op3_n),
404
 
405
                                       .src1(src1),
406
                                       .src2(src2),
407
                                       .src3(src3));
408
 
409
 
410 72 simont
//
411
//
412 120 simont
oc8051_comp oc8051_comp1(.sel(comp_sel),
413 132 simont
                         .eq(eq),
414
                         .b_in(bit_out),
415
                         .cy(cy),
416
                         .acc(acc),
417
                         .des(des1)
418
                         );
419 72 simont
 
420
 
421
//
422
//program rom
423 122 simont
`ifdef OC8051_ROM
424
  oc8051_rom oc8051_rom1(.rst(wb_rst_i),
425
                       .clk(wb_clk_i),
426
                       .ea_int(ea_int),
427 120 simont
                       .addr(iadr_o),
428 122 simont
                       .data1(op1_i),
429
                       .data2(op2_i),
430 120 simont
                       .data3(op3_i));
431 122 simont
`else
432
  assign ea_int = 1'b0;
433
  assign op1_i = 8'h00;
434
  assign op2_i = 8'h00;
435
  assign op3_i = 8'h00;
436
`endif
437 72 simont
 
438
//
439
//
440 120 simont
oc8051_cy_select oc8051_cy_select1(.cy_sel(cy_sel),
441
                                   .cy_in(cy),
442
                                   .data_in(bit_out),
443
                                   .data_out(alu_cy));
444 72 simont
//
445
//
446 120 simont
oc8051_indi_addr oc8051_indi_addr1 (.clk(wb_clk_i),
447
                                    .rst(wb_rst_i),
448
                                    .rd_addr(rd_addr),
449
                                    .wr_addr(wr_addr),
450
                                    .data_in(wr_dat),
451 134 simont
                                    .wr(wr_o),
452 120 simont
                                    .wr_bit(bit_addr_o),
453
                                    .rn_out(rn_mem),
454
                                    .ri_out(ri),
455 134 simont
                                    .sel(op1_cur),
456 120 simont
                                    .bank(bank_sel));
457 72 simont
 
458
 
459 107 simont
 
460
assign icyc_o = istb_o;
461 72 simont
//
462
//
463 120 simont
oc8051_memory_interface oc8051_memory_interface1(.clk(wb_clk_i),
464
                       .rst(wb_rst_i),
465 107 simont
// internal ram
466 120 simont
                       .wr_i(wr),
467
                       .wr_o(wr_o),
468
                       .wr_bit_i(bit_addr),
469
                       .wr_bit_o(bit_addr_o),
470
                       .wr_dat(wr_dat),
471 132 simont
                       .des1(des1),
472 120 simont
                       .des2(des2),
473
                       .rd_addr(rd_addr),
474
                       .wr_addr(wr_addr),
475
                       .wr_ind(wr_ind),
476
                       .bit_in(bit_data),
477
                       .in_ram(ram_data),
478
                       .sfr(sfr_out),
479
                       .sfr_bit(sfr_bit),
480 134 simont
                       .bit_out(bit_out),
481 120 simont
                       .iram_out(ram_out),
482 72 simont
 
483 107 simont
// external instrauction rom
484 120 simont
                       .iack_i(iack_i),
485
                       .iadr_o(iadr_o),
486
                       .idat_i(idat_i),
487
                       .istb_o(istb_o),
488 82 simont
 
489 107 simont
// internal instruction rom
490 120 simont
                       .op1_i(op1_i),
491
                       .op2_i(op2_i),
492
                       .op3_i(op3_i),
493 82 simont
 
494 107 simont
// data memory
495 120 simont
                       .dadr_o(wbd_adr_o),
496
                       .ddat_o(wbd_dat_o),
497
                       .dwe_o(wbd_we_o),
498
                       .dstb_o(wbd_stb_o),
499
                       .ddat_i(wbd_dat_i),
500
                       .dack_i(wbd_ack_i),
501 107 simont
 
502
// from decoder
503 120 simont
                       .rd_sel(ram_rd_sel),
504
                       .wr_sel(ram_wr_sel),
505 134 simont
                       .rn({bank_sel, op1_cur}),
506
                       .rd_ind(rd_ind),
507 120 simont
                       .rd(rd),
508
                       .mem_act(mem_act),
509
                       .mem_wait(mem_wait),
510 107 simont
 
511
// external access
512 120 simont
                       .ea(ea_in),
513
                       .ea_int(ea_int),
514 107 simont
 
515
// instructions outputs to cpu
516 120 simont
                       .op1_out(op1_n),
517
                       .op2_out(op2_n),
518
                       .op3_out(op3_n),
519 82 simont
 
520 107 simont
// interrupt interface
521 120 simont
                       .intr(intr),
522
                       .int_v(int_src),
523
                       .int_ack(int_ack),
524
                       .istb(istb),
525
                       .reti(reti),
526 107 simont
 
527 82 simont
//pc
528 120 simont
                       .pc_wr_sel(pc_wr_sel),
529 132 simont
                       .pc_wr(pc_wr & comp_wait),
530 120 simont
                       .pc(pc),
531 82 simont
 
532 107 simont
// sfr's
533 120 simont
                       .sp_w(sp_w),
534
                       .dptr({dptr_hi, dptr_lo}),
535
                       .ri(ri),
536
                       .rn_mem(rn_mem),
537
                       .acc(acc),
538
                       .sp(sp)
539
                       );
540 82 simont
 
541 107 simont
 
542 72 simont
//
543
//
544
 
545 120 simont
oc8051_sfr oc8051_sfr1(.rst(wb_rst_i),
546
                       .clk(wb_clk_i),
547
                       .adr0(rd_addr[7:0]),
548
                       .adr1(wr_addr[7:0]),
549
                       .dat0(sfr_out),
550
                       .dat1(wr_dat),
551
                       .dat2(des2),
552
                       .we(wr_o && !wr_ind),
553
                       .bit_in(desCy),
554
                       .bit_out(sfr_bit),
555 134 simont
                       .wr_bit(bit_addr_o),
556
                       .ram_rd_sel(ram_rd_sel),
557 120 simont
                       .ram_wr_sel(ram_wr_sel),
558
                       .wr_sfr(wr_sfr),
559 132 simont
                       .comp_sel(comp_sel),
560
                       .comp_wait(comp_wait),
561 76 simont
// acc
562 120 simont
                       .acc(acc),
563 76 simont
// sp
564 120 simont
                       .sp(sp),
565
                       .sp_w(sp_w),
566 76 simont
// psw
567 120 simont
                       .bank_sel(bank_sel),
568
                       .desAc(desAc),
569
                       .desOv(desOv),
570
                       .psw_set(psw_set),
571
                       .srcAc(srcAc),
572
                       .cy(cy),
573 76 simont
// ports
574 120 simont
                       .rmw(rmw),
575
 
576
  `ifdef OC8051_PORTS
577
        `ifdef OC8051_PORT0
578
                       .p0_out(p0_o),
579
                       .p0_in(p0_i),
580
        `endif
581
 
582
        `ifdef OC8051_PORT1
583
                       .p1_out(p1_o),
584
                       .p1_in(p1_i),
585
        `endif
586
 
587
        `ifdef OC8051_PORT2
588
                       .p2_out(p2_o),
589
                       .p2_in(p2_i),
590
        `endif
591
 
592
        `ifdef OC8051_PORT3
593
                       .p3_out(p3_o),
594
                       .p3_in(p3_i),
595
        `endif
596
  `endif
597
 
598 76 simont
// uart
599 120 simont
        `ifdef OC8051_UART
600
                       .rxd(rxd_i), .txd(txd_o),
601
        `endif
602
 
603 76 simont
// int
604 120 simont
                       .int_ack(int_ack),
605
                       .intr(intr),
606
                       .int0(int0_i),
607
                       .int1(int1_i),
608
                       .reti(reti),
609
                       .int_src(int_src),
610
 
611
// t/c 0,1
612
        `ifdef OC8051_TC01
613
                       .t0(t0_i),
614
                       .t1(t1_i),
615
        `endif
616
 
617
// t/c 2
618
        `ifdef OC8051_TC2
619
                       .t2(t2_i),
620
                       .t2ex(t2ex_i),
621
        `endif
622
 
623 76 simont
// dptr
624 120 simont
                       .dptr_hi(dptr_hi),
625
                       .dptr_lo(dptr_lo),
626
                       .wait_data(wait_data)
627
                       );
628 72 simont
 
629 82 simont
 
630 107 simont
 
631
 
632
`ifdef OC8051_CACHE
633
 
634
 
635
oc8051_icache oc8051_icache1(.rst(wb_rst_i), .clk(wb_clk_i),
636
// cpu
637
        .adr_i(iadr_o),
638
        .dat_o(idat_i),
639
        .stb_i(istb_o),
640
        .ack_o(iack_i),
641
        .cyc_i(icyc_o),
642
// pins
643
        .dat_i(wbi_dat_i),
644
        .stb_o(wbi_stb_o),
645
        .adr_o(wbi_adr_o),
646
        .ack_i(wbi_ack_i),
647
        .cyc_o(wbi_cyc_o));
648
 
649
defparam oc8051_icache1.ADR_WIDTH = 7;  // cache address wihth
650
defparam oc8051_icache1.LINE_WIDTH = 2; // line address width (2 => 4x32)
651
defparam oc8051_icache1.BL_NUM = 31; // number of blocks (2^BL_WIDTH-1); BL_WIDTH = ADR_WIDTH - LINE_WIDTH
652
defparam oc8051_icache1.CACHE_RAM = 128; // cache ram x 32 (2^ADR_WIDTH)
653
 
654
//
655
//    no cache
656
//
657
`else
658
 
659
oc8051_wb_iinterface oc8051_wb_iinterface(.rst(wb_rst_i), .clk(wb_clk_i),
660
// cpu
661
        .adr_i(iadr_o),
662
        .dat_o(idat_i),
663
        .stb_i(istb_o),
664
        .ack_o(iack_i),
665
        .cyc_i(icyc_o),
666
// external rom
667
        .dat_i(wbi_dat_i),
668
        .stb_o(wbi_stb_o),
669
        .adr_o(wbi_adr_o),
670
        .ack_i(wbi_ack_i),
671
        .cyc_o(wbi_cyc_o));
672
 
673
 
674
`endif
675
 
676
 
677
 
678 72 simont
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.