OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_wb_iinterface.v] - Blame information for rev 127

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 73 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 wishbone interface to instruction rom                  ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////                                                              ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////    nothing                                                   ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 127 simont
// Revision 1.3  2003/04/03 19:19:02  simont
48
// change adr_i and adr_o length.
49
//
50 110 simont
// Revision 1.2  2003/01/13 14:14:41  simont
51
// replace some modules
52
//
53 82 simont
// Revision 1.1  2002/10/28 16:42:08  simont
54
// initial import
55 73 simont
//
56
//
57 82 simont
//
58 73 simont
 
59
// synopsys translate_off
60
`include "oc8051_timescale.v"
61
// synopsys translate_on
62
 
63
 
64
module oc8051_wb_iinterface(rst, clk, adr_i, dat_o,stb_i, ack_o, cyc_i,
65
        dat_i, cyc_o, adr_o, ack_i, stb_o);
66
//
67
// rst           (in)  reset - pin
68
// clk           (in)  clock - pini
69
input rst, clk;
70
 
71
//
72
// interface to oc8051 cpu
73
//
74
// adr_i    (in)  address
75
// dat_o    (out) data output
76
// stb_i    (in)  strobe
77
// ack_o    (out) acknowledge
78
// cyc_i    (in)  cycle
79
input stb_i, cyc_i;
80 110 simont
input [15:0] adr_i;
81 73 simont
output ack_o;
82
output [31:0] dat_o;
83
 
84
//
85
// interface to instruction rom
86
//
87
// adr_o    (out) address
88
// dat_i    (in)  data input
89
// stb_o    (out) strobe
90
// ack_i    (in) acknowledge
91
// cyc_o    (out)  cycle
92
input ack_i;
93
input [31:0] dat_i;
94
output stb_o, cyc_o;
95 110 simont
output [15:0] adr_o;
96 73 simont
 
97
//
98
// internal bufers and wires
99
//
100 110 simont
reg [15:0] adr;
101 127 simont
//reg stb;
102 73 simont
 
103
assign ack_o = ack_i;
104
assign dat_o = dat_i;
105 127 simont
assign stb_o = stb_i || ack_i;
106
assign cyc_o = stb_i || ack_i;
107 73 simont
assign adr_o = ack_i ? adr : adr_i;
108
 
109
always @(posedge clk or posedge rst)
110
  if (rst) begin
111 127 simont
//    stb <= #1 1'b0;
112 73 simont
    adr <= #1 16'h0000;
113
  end else begin
114 127 simont
//    stb <= #1 stb_i;
115 73 simont
    adr <= #1 adr_i;
116
  end
117
 
118
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.