OpenCores
URL https://opencores.org/ocsvn/fpz8/fpz8/trunk

Subversion Repositories fpz8

[/] [FPz8.tan.rpt] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fabiop
Classic Timing Analyzer report for FPz8
2
Thu Nov 10 23:30:03 2016
3
Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Timing Analyzer Summary
11
  3. Timing Analyzer Settings
12
  4. Clock Settings Summary
13
  5. Parallel Compilation
14
  6. Clock Setup: 'CLOCK'
15
  7. tsu
16
  8. tco
17
  9. th
18
 10. Timing Analyzer Messages
19
 
20
 
21
 
22
----------------
23
; Legal Notice ;
24
----------------
25
Copyright (C) 1991-2010 Altera Corporation
26
Your use of Altera Corporation's design tools, logic functions
27
and other software and tools, and its AMPP partner logic
28
functions, and any output files from any of the foregoing
29
(including device programming or simulation files), and any
30
associated documentation or information are expressly subject
31
to the terms and conditions of the Altera Program License
32
Subscription Agreement, Altera MegaCore Function License
33
Agreement, or other applicable license agreement, including,
34
without limitation, that your use is for the sole purpose of
35
programming logic devices manufactured by Altera and sold by
36
Altera or its authorized distributors.  Please refer to the
37
applicable agreement for further details.
38
 
39
 
40
 
41
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
42
; Timing Analyzer Summary                                                                                                                                                                                                                                                                           ;
43
+------------------------------+-------+---------------+----------------------------------+-------------------------------------------------------------------------------------------------------------------+----------------------------------------------+------------+----------+--------------+
44
; Type                         ; Slack ; Required Time ; Actual Time                      ; From                                                                                                              ; To                                           ; From Clock ; To Clock ; Failed Paths ;
45
+------------------------------+-------+---------------+----------------------------------+-------------------------------------------------------------------------------------------------------------------+----------------------------------------------+------------+----------+--------------+
46
; Worst-case tsu               ; N/A   ; None          ; 7.296 ns                         ; RESET                                                                                                             ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[5]        ; --         ; CLOCK    ; 0            ;
47
; Worst-case tco               ; N/A   ; None          ; 8.415 ns                         ; fpz8_cpu_v1:inst|PAOUT[0]                                                                                         ; PAOUT[0]                                     ; CLOCK      ; --       ; 0            ;
48
; Worst-case th                ; N/A   ; None          ; -1.011 ns                        ; RESET                                                                                                             ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_REV2 ; --         ; CLOCK    ; 0            ;
49
; Clock Setup: 'CLOCK'         ; N/A   ; None          ; 23.67 MHz ( period = 42.244 ns ) ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[12]                ; CLOCK      ; CLOCK    ; 0            ;
50
; Total number of failed paths ;       ;               ;                                  ;                                                                                                                   ;                                              ;            ;          ; 0            ;
51
+------------------------------+-------+---------------+----------------------------------+-------------------------------------------------------------------------------------------------------------------+----------------------------------------------+------------+----------+--------------+
52
 
53
 
54
+-----------------------------------------------------------------------------------------------------------------------------------------------------+
55
; Timing Analyzer Settings                                                                                                                            ;
56
+------------------------------------------------------------------------------------------------------+--------------------+------+----+-------------+
57
; Option                                                                                               ; Setting            ; From ; To ; Entity Name ;
58
+------------------------------------------------------------------------------------------------------+--------------------+------+----+-------------+
59
; Device Name                                                                                          ; EP2C8T144C6        ;      ;    ;             ;
60
; Timing Models                                                                                        ; Final              ;      ;    ;             ;
61
; Default hold multicycle                                                                              ; Same as Multicycle ;      ;    ;             ;
62
; Cut paths between unrelated clock domains                                                            ; On                 ;      ;    ;             ;
63
; Cut off read during write signal paths                                                               ; On                 ;      ;    ;             ;
64
; Cut off feedback from I/O pins                                                                       ; On                 ;      ;    ;             ;
65
; Report Combined Fast/Slow Timing                                                                     ; Off                ;      ;    ;             ;
66
; Ignore Clock Settings                                                                                ; Off                ;      ;    ;             ;
67
; Analyze latches as synchronous elements                                                              ; On                 ;      ;    ;             ;
68
; Enable Recovery/Removal analysis                                                                     ; Off                ;      ;    ;             ;
69
; Enable Clock Latency                                                                                 ; Off                ;      ;    ;             ;
70
; Use TimeQuest Timing Analyzer                                                                        ; Off                ;      ;    ;             ;
71
; Number of source nodes to report per destination node                                                ; 10                 ;      ;    ;             ;
72
; Number of destination nodes to report                                                                ; 10                 ;      ;    ;             ;
73
; Number of paths to report                                                                            ; 200                ;      ;    ;             ;
74
; Report Minimum Timing Checks                                                                         ; Off                ;      ;    ;             ;
75
; Use Fast Timing Models                                                                               ; Off                ;      ;    ;             ;
76
; Report IO Paths Separately                                                                           ; Off                ;      ;    ;             ;
77
; Perform Multicorner Analysis                                                                         ; On                 ;      ;    ;             ;
78
; Reports the worst-case path for each clock domain and analysis                                       ; Off                ;      ;    ;             ;
79
; Reports worst-case timing paths for each clock domain and analysis                                   ; On                 ;      ;    ;             ;
80
; Specifies the maximum number of worst-case timing paths to report for each clock domain and analysis ; 100                ;      ;    ;             ;
81
; Removes common clock path pessimism (CCPP) during slack computation                                  ; Off                ;      ;    ;             ;
82
; Output I/O Timing Endpoint                                                                           ; Near End           ;      ;    ;             ;
83
+------------------------------------------------------------------------------------------------------+--------------------+------+----+-------------+
84
 
85
 
86
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
87
; Clock Settings Summary                                                                                                                                                             ;
88
+-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+
89
; Clock Node Name ; Clock Setting Name ; Type     ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ;
90
+-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+
91
; CLOCK           ;                    ; User Pin ; None             ; 0.000 ns      ; 0.000 ns     ; --       ; N/A                   ; N/A                 ; N/A    ;              ;
92
+-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+
93
 
94
 
95
Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
96
+-------------------------------------+
97
; Parallel Compilation                ;
98
+----------------------------+--------+
99
; Processors                 ; Number ;
100
+----------------------------+--------+
101
; Number detected on machine ; 4      ;
102
; Maximum allowed            ; 1      ;
103
+----------------------------+--------+
104
 
105
 
106
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
107
; Clock Setup: 'CLOCK'                                                                                                                                                                                                                                                                                                                                                   ;
108
+-----------------------------------------+-----------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
109
; Slack                                   ; Actual fmax (period)                                ; From                                                                                                               ; To                                    ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ;
110
+-----------------------------------------+-----------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
111
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
112
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
113
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
114
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
115
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
116
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
117
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
118
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
119
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
120
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
121
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
122
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
123
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
124
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
125
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
126
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
127
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
128
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
129
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
130
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
131
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
132
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
133
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
134
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
135
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
136
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
137
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
138
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
139
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
140
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
141
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
142
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
143
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
144
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
145
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
146
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
147
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
148
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
149
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
150
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
151
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
152
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
153
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
154
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
155
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
156
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
157
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
158
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
159
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
160
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
161
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
162
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
163
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
164
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
165
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
166
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
167
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
168
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
169
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
170
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
171
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
172
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
173
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
174
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
175
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
176
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
177
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
178
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
179
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
180
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
181
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
182
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
183
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
184
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
185
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
186
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
187
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
188
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
189
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
190
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
191
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
192
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
193
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
194
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
195
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
196
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
197
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
198
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
199
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
200
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
201
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
202
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_we_reg        ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
203
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
204
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
205
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
206
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
207
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
208
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
209
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
210
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
211
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
212
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
213
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
214
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
215
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_we_reg        ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
216
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg0  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
217
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg1  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
218
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg2  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
219
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg3  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
220
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg4  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
221
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg5  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
222
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg6  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
223
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg7  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
224
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg8  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
225
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg9  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
226
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg10 ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
227
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg11 ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
228
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
229
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
230
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
231
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
232
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
233
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
234
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
235
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
236
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
237
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
238
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
239
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
240
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
241
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
242
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
243
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
244
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
245
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
246
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
247
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
248
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
249
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
250
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
251
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
252
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
253
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
254
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
255
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
256
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
257
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
258
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
259
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
260
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
261
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
262
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
263
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
264
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
265
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
266
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
267
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
268
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
269
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
270
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
271
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
272
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
273
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
274
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
275
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
276
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
277
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
278
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
279
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
280
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
281
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
282
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
283
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
284
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
285
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
286
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
287
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
288
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
289
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
290
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
291
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
292
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
293
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
294
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
295
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
296
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
297
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
298
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
299
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
300
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
301
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
302
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
303
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
304
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
305
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
306
; N/A                                     ; 23.89 MHz ( period = 41.850 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[7]          ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.684 ns               ;
307
; N/A                                     ; 23.89 MHz ( period = 41.850 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[7]          ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.684 ns               ;
308
; N/A                                     ; 23.89 MHz ( period = 41.850 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[7]          ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.684 ns               ;
309
; N/A                                     ; 23.89 MHz ( period = 41.850 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[7]          ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.684 ns               ;
310
; N/A                                     ; 23.89 MHz ( period = 41.850 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[7]          ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.684 ns               ;
311
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;                                                                                                                    ;                                       ;            ;          ;                             ;                           ;                         ;
312
+-----------------------------------------+-----------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
313
 
314
 
315
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
316
; tsu                                                                                                                                                                            ;
317
+-----------------------------------------+-----------------------------------------------------+------------+--------+-----------------------------------------------+----------+
318
; Slack                                   ; Required tsu                                        ; Actual tsu ; From   ; To                                            ; To Clock ;
319
+-----------------------------------------+-----------------------------------------------------+------------+--------+-----------------------------------------------+----------+
320
; N/A                                     ; None                                                ; 7.296 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[5]         ; CLOCK    ;
321
; N/A                                     ; None                                                ; 7.265 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[4]         ; CLOCK    ;
322
; N/A                                     ; None                                                ; 6.980 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[2]         ; CLOCK    ;
323
; N/A                                     ; None                                                ; 6.757 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[1]         ; CLOCK    ;
324
; N/A                                     ; None                                                ; 6.752 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7]         ; CLOCK    ;
325
; N/A                                     ; None                                                ; 6.752 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[0]         ; CLOCK    ;
326
; N/A                                     ; None                                                ; 6.752 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[3]         ; CLOCK    ;
327
; N/A                                     ; None                                                ; 6.703 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[10]        ; CLOCK    ;
328
; N/A                                     ; None                                                ; 6.641 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]        ; CLOCK    ;
329
; N/A                                     ; None                                                ; 6.641 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[14]        ; CLOCK    ;
330
; N/A                                     ; None                                                ; 6.567 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[1]              ; CLOCK    ;
331
; N/A                                     ; None                                                ; 6.567 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[0]              ; CLOCK    ;
332
; N/A                                     ; None                                                ; 6.567 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[3]              ; CLOCK    ;
333
; N/A                                     ; None                                                ; 6.567 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[2]              ; CLOCK    ;
334
; N/A                                     ; None                                                ; 6.406 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[12]        ; CLOCK    ;
335
; N/A                                     ; None                                                ; 6.360 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[11]        ; CLOCK    ;
336
; N/A                                     ; None                                                ; 6.320 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[8]         ; CLOCK    ;
337
; N/A                                     ; None                                                ; 6.283 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[10]          ; CLOCK    ;
338
; N/A                                     ; None                                                ; 6.283 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[9]           ; CLOCK    ;
339
; N/A                                     ; None                                                ; 6.283 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[11]          ; CLOCK    ;
340
; N/A                                     ; None                                                ; 6.274 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[6]         ; CLOCK    ;
341
; N/A                                     ; None                                                ; 6.104 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[13]        ; CLOCK    ;
342
; N/A                                     ; None                                                ; 6.089 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[9]         ; CLOCK    ;
343
; N/A                                     ; None                                                ; 6.082 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[8]           ; CLOCK    ;
344
; N/A                                     ; None                                                ; 5.468 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[7]           ; CLOCK    ;
345
; N/A                                     ; None                                                ; 5.444 ns   ; RESET  ; fpz8_cpu_v1:inst|CPU_FLAGS.F2                 ; CLOCK    ;
346
; N/A                                     ; None                                                ; 5.443 ns   ; RESET  ; fpz8_cpu_v1:inst|CPU_FLAGS.H                  ; CLOCK    ;
347
; N/A                                     ; None                                                ; 5.443 ns   ; RESET  ; fpz8_cpu_v1:inst|CPU_FLAGS.D                  ; CLOCK    ;
348
; N/A                                     ; None                                                ; 5.402 ns   ; RESET  ; fpz8_cpu_v1:inst|CPU_FLAGS.F1                 ; CLOCK    ;
349
; N/A                                     ; None                                                ; 5.269 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[4]           ; CLOCK    ;
350
; N/A                                     ; None                                                ; 5.269 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[5]           ; CLOCK    ;
351
; N/A                                     ; None                                                ; 5.269 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[6]           ; CLOCK    ;
352
; N/A                                     ; None                                                ; 4.973 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[2]           ; CLOCK    ;
353
; N/A                                     ; None                                                ; 4.973 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[1]           ; CLOCK    ;
354
; N/A                                     ; None                                                ; 4.973 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[3]           ; CLOCK    ;
355
; N/A                                     ; None                                                ; 4.973 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[0]           ; CLOCK    ;
356
; N/A                                     ; None                                                ; 4.605 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[6]              ; CLOCK    ;
357
; N/A                                     ; None                                                ; 4.372 ns   ; RESET  ; fpz8_cpu_v1:inst|ALU_FLAGS.V                  ; CLOCK    ;
358
; N/A                                     ; None                                                ; 4.351 ns   ; RESET  ; fpz8_cpu_v1:inst|ALU_FLAGS.C                  ; CLOCK    ;
359
; N/A                                     ; None                                                ; 4.320 ns   ; RESET  ; fpz8_cpu_v1:inst|ALU_FLAGS.H                  ; CLOCK    ;
360
; N/A                                     ; None                                                ; 4.234 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[2]                     ; CLOCK    ;
361
; N/A                                     ; None                                                ; 4.150 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[7]              ; CLOCK    ;
362
; N/A                                     ; None                                                ; 4.150 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[5]              ; CLOCK    ;
363
; N/A                                     ; None                                                ; 4.150 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[4]              ; CLOCK    ;
364
; N/A                                     ; None                                                ; 4.041 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[5]                     ; CLOCK    ;
365
; N/A                                     ; None                                                ; 3.930 ns   ; RESET  ; fpz8_cpu_v1:inst|FCTL[0]                      ; CLOCK    ;
366
; N/A                                     ; None                                                ; 3.930 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[0]                     ; CLOCK    ;
367
; N/A                                     ; None                                                ; 3.921 ns   ; DBG_RX ; fpz8_cpu_v1:inst|RXSYNC2                      ; CLOCK    ;
368
; N/A                                     ; None                                                ; 3.888 ns   ; RESET  ; fpz8_cpu_v1:inst|FCTL[1]                      ; CLOCK    ;
369
; N/A                                     ; None                                                ; 3.885 ns   ; RESET  ; fpz8_cpu_v1:inst|ALU_FLAGS.S                  ; CLOCK    ;
370
; N/A                                     ; None                                                ; 3.885 ns   ; RESET  ; fpz8_cpu_v1:inst|ALU_FLAGS.Z                  ; CLOCK    ;
371
; N/A                                     ; None                                                ; 3.659 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[7]                     ; CLOCK    ;
372
; N/A                                     ; None                                                ; 3.655 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[4]                     ; CLOCK    ;
373
; N/A                                     ; None                                                ; 3.655 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[6]                     ; CLOCK    ;
374
; N/A                                     ; None                                                ; 3.632 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[1]                     ; CLOCK    ;
375
; N/A                                     ; None                                                ; 3.632 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[3]                     ; CLOCK    ;
376
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[3]       ; CLOCK    ;
377
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[2]       ; CLOCK    ;
378
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[0]       ; CLOCK    ;
379
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[1]       ; CLOCK    ;
380
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[7]       ; CLOCK    ;
381
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[5]       ; CLOCK    ;
382
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[6]       ; CLOCK    ;
383
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[4]       ; CLOCK    ;
384
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[10]      ; CLOCK    ;
385
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[11]      ; CLOCK    ;
386
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[8]       ; CLOCK    ;
387
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[9]       ; CLOCK    ;
388
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[13]      ; CLOCK    ;
389
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[12]      ; CLOCK    ;
390
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[15]      ; CLOCK    ;
391
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[14]      ; CLOCK    ;
392
; N/A                                     ; None                                                ; 3.042 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[0]                      ; CLOCK    ;
393
; N/A                                     ; None                                                ; 3.042 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[1]                      ; CLOCK    ;
394
; N/A                                     ; None                                                ; 3.042 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[6]                      ; CLOCK    ;
395
; N/A                                     ; None                                                ; 3.042 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[3]                      ; CLOCK    ;
396
; N/A                                     ; None                                                ; 2.835 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[7]                      ; CLOCK    ;
397
; N/A                                     ; None                                                ; 2.822 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[4]                      ; CLOCK    ;
398
; N/A                                     ; None                                                ; 2.822 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[2]                      ; CLOCK    ;
399
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[4]  ; CLOCK    ;
400
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[5]  ; CLOCK    ;
401
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[3]  ; CLOCK    ;
402
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[2]  ; CLOCK    ;
403
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[7]  ; CLOCK    ;
404
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[6]  ; CLOCK    ;
405
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[1]  ; CLOCK    ;
406
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[0]  ; CLOCK    ;
407
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[0] ; CLOCK    ;
408
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[1] ; CLOCK    ;
409
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXCNT[3]      ; CLOCK    ;
410
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXCNT[2]      ; CLOCK    ;
411
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXCNT[1]      ; CLOCK    ;
412
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXCNT[0]      ; CLOCK    ;
413
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[2] ; CLOCK    ;
414
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[3] ; CLOCK    ;
415
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[4] ; CLOCK    ;
416
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[5] ; CLOCK    ;
417
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[6] ; CLOCK    ;
418
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[7] ; CLOCK    ;
419
; N/A                                     ; None                                                ; 2.563 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][1]     ; CLOCK    ;
420
; N/A                                     ; None                                                ; 2.563 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][5]     ; CLOCK    ;
421
; N/A                                     ; None                                                ; 2.561 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.RDPOS[1]        ; CLOCK    ;
422
; N/A                                     ; None                                                ; 2.561 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.RDPOS[2]        ; CLOCK    ;
423
; N/A                                     ; None                                                ; 2.561 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.RDPOS[0]        ; CLOCK    ;
424
; N/A                                     ; None                                                ; 2.546 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][0]     ; CLOCK    ;
425
; N/A                                     ; None                                                ; 2.546 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][2]     ; CLOCK    ;
426
; N/A                                     ; None                                                ; 2.539 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][0]     ; CLOCK    ;
427
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_REV   ; CLOCK    ;
428
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][3]     ; CLOCK    ;
429
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][5]     ; CLOCK    ;
430
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][1]     ; CLOCK    ;
431
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][0]     ; CLOCK    ;
432
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][4]     ; CLOCK    ;
433
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][2]     ; CLOCK    ;
434
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][6]     ; CLOCK    ;
435
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][7]     ; CLOCK    ;
436
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][1]     ; CLOCK    ;
437
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][2]     ; CLOCK    ;
438
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][5]     ; CLOCK    ;
439
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][4]     ; CLOCK    ;
440
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][6]     ; CLOCK    ;
441
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][7]     ; CLOCK    ;
442
; N/A                                     ; None                                                ; 2.528 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[5]                      ; CLOCK    ;
443
; N/A                                     ; None                                                ; 2.525 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][3]     ; CLOCK    ;
444
; N/A                                     ; None                                                ; 2.525 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][7]     ; CLOCK    ;
445
; N/A                                     ; None                                                ; 2.525 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][6]     ; CLOCK    ;
446
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][3]     ; CLOCK    ;
447
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][5]     ; CLOCK    ;
448
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][1]     ; CLOCK    ;
449
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][1]     ; CLOCK    ;
450
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][0]     ; CLOCK    ;
451
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][0]     ; CLOCK    ;
452
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][2]     ; CLOCK    ;
453
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][2]     ; CLOCK    ;
454
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][4]     ; CLOCK    ;
455
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][7]     ; CLOCK    ;
456
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][6]     ; CLOCK    ;
457
; N/A                                     ; None                                                ; 2.479 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:PC[8]                  ; CLOCK    ;
458
; N/A                                     ; None                                                ; 2.442 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:WORD_DATA              ; CLOCK    ;
459
; N/A                                     ; None                                                ; 2.428 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][3]     ; CLOCK    ;
460
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][5]     ; CLOCK    ;
461
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][1]     ; CLOCK    ;
462
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][0]     ; CLOCK    ;
463
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][2]     ; CLOCK    ;
464
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][7]     ; CLOCK    ;
465
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][6]     ; CLOCK    ;
466
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][4]     ; CLOCK    ;
467
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[1] ; CLOCK    ;
468
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[2] ; CLOCK    ;
469
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[8] ; CLOCK    ;
470
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[5] ; CLOCK    ;
471
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[3] ; CLOCK    ;
472
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[6] ; CLOCK    ;
473
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[4] ; CLOCK    ;
474
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[7] ; CLOCK    ;
475
; N/A                                     ; None                                                ; 2.322 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][7]     ; CLOCK    ;
476
; N/A                                     ; None                                                ; 2.308 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][4]     ; CLOCK    ;
477
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][3]     ; CLOCK    ;
478
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][1]     ; CLOCK    ;
479
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][0]     ; CLOCK    ;
480
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][2]     ; CLOCK    ;
481
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][5]     ; CLOCK    ;
482
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][7]     ; CLOCK    ;
483
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][6]     ; CLOCK    ;
484
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][4]     ; CLOCK    ;
485
; N/A                                     ; None                                                ; 2.296 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][3]     ; CLOCK    ;
486
; N/A                                     ; None                                                ; 2.293 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:TEMP_OP[1]             ; CLOCK    ;
487
; N/A                                     ; None                                                ; 2.293 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:TEMP_OP[2]             ; CLOCK    ;
488
; N/A                                     ; None                                                ; 2.293 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:TEMP_OP[3]             ; CLOCK    ;
489
; N/A                                     ; None                                                ; 2.293 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:TEMP_OP[0]             ; CLOCK    ;
490
; N/A                                     ; None                                                ; 2.275 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:PC[9]                  ; CLOCK    ;
491
; N/A                                     ; None                                                ; 2.266 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:PC[4]                  ; CLOCK    ;
492
; N/A                                     ; None                                                ; 2.250 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:PC[13]                 ; CLOCK    ;
493
; N/A                                     ; None                                                ; 2.243 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][3]     ; CLOCK    ;
494
; N/A                                     ; None                                                ; 2.228 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][5]     ; CLOCK    ;
495
; N/A                                     ; None                                                ; 2.228 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][6]     ; CLOCK    ;
496
; N/A                                     ; None                                                ; 2.228 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][4]     ; CLOCK    ;
497
; N/A                                     ; None                                                ; 2.219 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[1]    ; CLOCK    ;
498
; N/A                                     ; None                                                ; 2.219 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[2]    ; CLOCK    ;
499
; N/A                                     ; None                                                ; 2.219 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[3]    ; CLOCK    ;
500
; N/A                                     ; None                                                ; 2.219 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[4]    ; CLOCK    ;
501
; N/A                                     ; None                                                ; 2.219 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[5]    ; CLOCK    ;
502
; N/A                                     ; None                                                ; 2.204 ns   ; RESET  ; fpz8_cpu_v1:inst|FCTL[7]                      ; CLOCK    ;
503
; N/A                                     ; None                                                ; 2.135 ns   ; RESET  ; fpz8_cpu_v1:inst|FCTL[2]                      ; CLOCK    ;
504
; N/A                                     ; None                                                ; 2.055 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][5]     ; CLOCK    ;
505
; N/A                                     ; None                                                ; 2.055 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][3]     ; CLOCK    ;
506
; N/A                                     ; None                                                ; 2.055 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][0]     ; CLOCK    ;
507
; N/A                                     ; None                                                ; 2.055 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][6]     ; CLOCK    ;
508
; N/A                                     ; None                                                ; 2.042 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[0]        ; CLOCK    ;
509
; N/A                                     ; None                                                ; 2.042 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[1]        ; CLOCK    ;
510
; N/A                                     ; None                                                ; 2.042 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[2]        ; CLOCK    ;
511
; N/A                                     ; None                                                ; 2.039 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][1]     ; CLOCK    ;
512
; N/A                                     ; None                                                ; 2.039 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][4]     ; CLOCK    ;
513
; N/A                                     ; None                                                ; 2.039 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][2]     ; CLOCK    ;
514
; N/A                                     ; None                                                ; 2.039 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][7]     ; CLOCK    ;
515
; N/A                                     ; None                                                ; 2.015 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.FULL            ; CLOCK    ;
516
; N/A                                     ; None                                                ; 1.997 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[2]          ; CLOCK    ;
517
; N/A                                     ; None                                                ; 1.997 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[1]          ; CLOCK    ;
518
; N/A                                     ; None                                                ; 1.997 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[0]          ; CLOCK    ;
519
; N/A                                     ; None                                                ; 1.964 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:PC[10]                 ; CLOCK    ;
520
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;            ;        ;                                               ;          ;
521
+-----------------------------------------+-----------------------------------------------------+------------+--------+-----------------------------------------------+----------+
522
 
523
 
524
+---------------------------------------------------------------------------------------+
525
; tco                                                                                   ;
526
+-------+--------------+------------+---------------------------+----------+------------+
527
; Slack ; Required tco ; Actual tco ; From                      ; To       ; From Clock ;
528
+-------+--------------+------------+---------------------------+----------+------------+
529
; N/A   ; None         ; 8.415 ns   ; fpz8_cpu_v1:inst|PAOUT[0] ; PAOUT[0] ; CLOCK      ;
530
; N/A   ; None         ; 7.370 ns   ; fpz8_cpu_v1:inst|PAOUT[2] ; PAOUT[2] ; CLOCK      ;
531
; N/A   ; None         ; 7.246 ns   ; fpz8_cpu_v1:inst|PAOUT[3] ; PAOUT[3] ; CLOCK      ;
532
; N/A   ; None         ; 7.244 ns   ; fpz8_cpu_v1:inst|PAOUT[7] ; PAOUT[7] ; CLOCK      ;
533
; N/A   ; None         ; 6.505 ns   ; fpz8_cpu_v1:inst|PAOUT[5] ; PAOUT[5] ; CLOCK      ;
534
; N/A   ; None         ; 6.394 ns   ; fpz8_cpu_v1:inst|DBG_TX   ; DBG_TX   ; CLOCK      ;
535
; N/A   ; None         ; 6.379 ns   ; fpz8_cpu_v1:inst|PAOUT[6] ; PAOUT[6] ; CLOCK      ;
536
; N/A   ; None         ; 6.363 ns   ; fpz8_cpu_v1:inst|PAOUT[4] ; PAOUT[4] ; CLOCK      ;
537
; N/A   ; None         ; 6.130 ns   ; fpz8_cpu_v1:inst|PAOUT[1] ; PAOUT[1] ; CLOCK      ;
538
+-------+--------------+------------+---------------------------+----------+------------+
539
 
540
 
541
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
542
; th                                                                                                                                                                              ;
543
+-----------------------------------------+-----------------------------------------------------+-----------+-------+--------------------------------------------------+----------+
544
; Minimum Slack                           ; Required th                                         ; Actual th ; From  ; To                                               ; To Clock ;
545
+-----------------------------------------+-----------------------------------------------------+-----------+-------+--------------------------------------------------+----------+
546
; N/A                                     ; None                                                ; -1.011 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_PC2      ; CLOCK    ;
547
; N/A                                     ; None                                                ; -1.011 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_REV2     ; CLOCK    ;
548
; N/A                                     ; None                                                ; -1.018 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[0]       ; CLOCK    ;
549
; N/A                                     ; None                                                ; -1.018 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[6]       ; CLOCK    ;
550
; N/A                                     ; None                                                ; -1.018 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[7]       ; CLOCK    ;
551
; N/A                                     ; None                                                ; -1.028 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WRITE_PC2     ; CLOCK    ;
552
; N/A                                     ; None                                                ; -1.028 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_STEP          ; CLOCK    ;
553
; N/A                                     ; None                                                ; -1.028 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_REG           ; CLOCK    ;
554
; N/A                                     ; None                                                ; -1.028 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_REG2          ; CLOCK    ;
555
; N/A                                     ; None                                                ; -1.073 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_EMPTY         ; CLOCK    ;
556
; N/A                                     ; None                                                ; -1.087 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[0]              ; CLOCK    ;
557
; N/A                                     ; None                                                ; -1.087 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[3]              ; CLOCK    ;
558
; N/A                                     ; None                                                ; -1.147 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[5]              ; CLOCK    ;
559
; N/A                                     ; None                                                ; -1.260 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[3]                     ; CLOCK    ;
560
; N/A                                     ; None                                                ; -1.260 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[5]                     ; CLOCK    ;
561
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXCNT[3]         ; CLOCK    ;
562
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXCNT[2]         ; CLOCK    ;
563
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXCNT[0]         ; CLOCK    ;
564
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXCNT[1]         ; CLOCK    ;
565
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[11]    ; CLOCK    ;
566
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[5]     ; CLOCK    ;
567
; N/A                                     ; None                                                ; -1.281 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DONE          ; CLOCK    ;
568
; N/A                                     ; None                                                ; -1.281 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_EXEC          ; CLOCK    ;
569
; N/A                                     ; None                                                ; -1.281 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_STUFF         ; CLOCK    ;
570
; N/A                                     ; None                                                ; -1.284 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM6      ; CLOCK    ;
571
; N/A                                     ; None                                                ; -1.284 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_REG5          ; CLOCK    ;
572
; N/A                                     ; None                                                ; -1.299 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WRITE_CTRL    ; CLOCK    ;
573
; N/A                                     ; None                                                ; -1.299 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WRITE_PC      ; CLOCK    ;
574
; N/A                                     ; None                                                ; -1.299 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_EXEC2         ; CLOCK    ;
575
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WRITE_PROGMEM ; CLOCK    ;
576
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WRITE_REG     ; CLOCK    ;
577
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_PC       ; CLOCK    ;
578
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WAIT_CMD      ; CLOCK    ;
579
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_STATUS   ; CLOCK    ;
580
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_CTRL     ; CLOCK    ;
581
; N/A                                     ; None                                                ; -1.340 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[0]       ; CLOCK    ;
582
; N/A                                     ; None                                                ; -1.340 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.WRT              ; CLOCK    ;
583
; N/A                                     ; None                                                ; -1.340 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[4]       ; CLOCK    ;
584
; N/A                                     ; None                                                ; -1.340 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM       ; CLOCK    ;
585
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[7]       ; CLOCK    ;
586
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[2]       ; CLOCK    ;
587
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[1]       ; CLOCK    ;
588
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_READ_PROGMEM  ; CLOCK    ;
589
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_READ_REG      ; CLOCK    ;
590
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[5]       ; CLOCK    ;
591
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[3]       ; CLOCK    ;
592
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[6]       ; CLOCK    ;
593
; N/A                                     ; None                                                ; -1.345 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM4      ; CLOCK    ;
594
; N/A                                     ; None                                                ; -1.345 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM3      ; CLOCK    ;
595
; N/A                                     ; None                                                ; -1.345 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM2      ; CLOCK    ;
596
; N/A                                     ; None                                                ; -1.345 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_REG3          ; CLOCK    ;
597
; N/A                                     ; None                                                ; -1.349 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_REG4          ; CLOCK    ;
598
; N/A                                     ; None                                                ; -1.349 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM5      ; CLOCK    ;
599
; N/A                                     ; None                                                ; -1.349 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_EXEC3         ; CLOCK    ;
600
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[8]     ; CLOCK    ;
601
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[11]    ; CLOCK    ;
602
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[10]    ; CLOCK    ;
603
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[9]     ; CLOCK    ;
604
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[5]     ; CLOCK    ;
605
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[4]     ; CLOCK    ;
606
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[8]     ; CLOCK    ;
607
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[0]     ; CLOCK    ;
608
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|MEM_SEL                         ; CLOCK    ;
609
; N/A                                     ; None                                                ; -1.374 ns ; RESET ; fpz8_cpu_v1:inst|\main:LU_INSTRUCTION            ; CLOCK    ;
610
; N/A                                     ; None                                                ; -1.374 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[4]              ; CLOCK    ;
611
; N/A                                     ; None                                                ; -1.374 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[2]              ; CLOCK    ;
612
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDPRE[0]       ; CLOCK    ;
613
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDPRE[1]       ; CLOCK    ;
614
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[1]                     ; CLOCK    ;
615
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[0]                     ; CLOCK    ;
616
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[6]                     ; CLOCK    ;
617
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[11]                    ; CLOCK    ;
618
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[15]                    ; CLOCK    ;
619
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[14]                    ; CLOCK    ;
620
; N/A                                     ; None                                                ; -1.557 ns ; RESET ; fpz8_cpu_v1:inst|DBG_TX                          ; CLOCK    ;
621
; N/A                                     ; None                                                ; -1.557 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.DBG_SYNC         ; CLOCK    ;
622
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[8]     ; CLOCK    ;
623
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[7]     ; CLOCK    ;
624
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[3]     ; CLOCK    ;
625
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[6]     ; CLOCK    ;
626
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[10]    ; CLOCK    ;
627
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[9]     ; CLOCK    ;
628
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[0]     ; CLOCK    ;
629
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[2]     ; CLOCK    ;
630
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[1]     ; CLOCK    ;
631
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[0]     ; CLOCK    ;
632
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[4]     ; CLOCK    ;
633
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[6]     ; CLOCK    ;
634
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[7]     ; CLOCK    ;
635
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[2]     ; CLOCK    ;
636
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[5]     ; CLOCK    ;
637
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[4]     ; CLOCK    ;
638
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[1]     ; CLOCK    ;
639
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[3]     ; CLOCK    ;
640
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[1]     ; CLOCK    ;
641
; N/A                                     ; None                                                ; -1.569 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[7]     ; CLOCK    ;
642
; N/A                                     ; None                                                ; -1.569 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[3]     ; CLOCK    ;
643
; N/A                                     ; None                                                ; -1.569 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[6]     ; CLOCK    ;
644
; N/A                                     ; None                                                ; -1.569 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[2]     ; CLOCK    ;
645
; N/A                                     ; None                                                ; -1.603 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[7]              ; CLOCK    ;
646
; N/A                                     ; None                                                ; -1.603 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[1]              ; CLOCK    ;
647
; N/A                                     ; None                                                ; -1.648 ns ; RESET ; fpz8_cpu_v1:inst|CPU_FLAGS.C                     ; CLOCK    ;
648
; N/A                                     ; None                                                ; -1.657 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[6]              ; CLOCK    ;
649
; N/A                                     ; None                                                ; -1.686 ns ; RESET ; fpz8_cpu_v1:inst|CPU_FLAGS.Z                     ; CLOCK    ;
650
; N/A                                     ; None                                                ; -1.691 ns ; RESET ; fpz8_cpu_v1:inst|CPU_FLAGS.V                     ; CLOCK    ;
651
; N/A                                     ; None                                                ; -1.691 ns ; RESET ; fpz8_cpu_v1:inst|CPU_FLAGS.S                     ; CLOCK    ;
652
; N/A                                     ; None                                                ; -1.698 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[2]                     ; CLOCK    ;
653
; N/A                                     ; None                                                ; -1.698 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[7]                     ; CLOCK    ;
654
; N/A                                     ; None                                                ; -1.734 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[10]                    ; CLOCK    ;
655
; N/A                                     ; None                                                ; -1.734 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[12]                    ; CLOCK    ;
656
; N/A                                     ; None                                                ; -1.767 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[2]             ; CLOCK    ;
657
; N/A                                     ; None                                                ; -1.767 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[1]             ; CLOCK    ;
658
; N/A                                     ; None                                                ; -1.767 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[0]             ; CLOCK    ;
659
; N/A                                     ; None                                                ; -1.785 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.FULL               ; CLOCK    ;
660
; N/A                                     ; None                                                ; -1.809 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][1]        ; CLOCK    ;
661
; N/A                                     ; None                                                ; -1.809 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][4]        ; CLOCK    ;
662
; N/A                                     ; None                                                ; -1.809 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][2]        ; CLOCK    ;
663
; N/A                                     ; None                                                ; -1.809 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][7]        ; CLOCK    ;
664
; N/A                                     ; None                                                ; -1.812 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[0]           ; CLOCK    ;
665
; N/A                                     ; None                                                ; -1.812 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[1]           ; CLOCK    ;
666
; N/A                                     ; None                                                ; -1.812 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[2]           ; CLOCK    ;
667
; N/A                                     ; None                                                ; -1.825 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][5]        ; CLOCK    ;
668
; N/A                                     ; None                                                ; -1.825 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][3]        ; CLOCK    ;
669
; N/A                                     ; None                                                ; -1.825 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][0]        ; CLOCK    ;
670
; N/A                                     ; None                                                ; -1.825 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][6]        ; CLOCK    ;
671
; N/A                                     ; None                                                ; -1.905 ns ; RESET ; fpz8_cpu_v1:inst|FCTL[2]                         ; CLOCK    ;
672
; N/A                                     ; None                                                ; -1.974 ns ; RESET ; fpz8_cpu_v1:inst|FCTL[7]                         ; CLOCK    ;
673
; N/A                                     ; None                                                ; -1.989 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[1]       ; CLOCK    ;
674
; N/A                                     ; None                                                ; -1.989 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[2]       ; CLOCK    ;
675
; N/A                                     ; None                                                ; -1.989 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[3]       ; CLOCK    ;
676
; N/A                                     ; None                                                ; -1.989 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[4]       ; CLOCK    ;
677
; N/A                                     ; None                                                ; -1.989 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[5]       ; CLOCK    ;
678
; N/A                                     ; None                                                ; -1.998 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][5]        ; CLOCK    ;
679
; N/A                                     ; None                                                ; -1.998 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][6]        ; CLOCK    ;
680
; N/A                                     ; None                                                ; -1.998 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][4]        ; CLOCK    ;
681
; N/A                                     ; None                                                ; -2.013 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][3]        ; CLOCK    ;
682
; N/A                                     ; None                                                ; -2.020 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[13]                    ; CLOCK    ;
683
; N/A                                     ; None                                                ; -2.036 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[4]                     ; CLOCK    ;
684
; N/A                                     ; None                                                ; -2.045 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[9]                     ; CLOCK    ;
685
; N/A                                     ; None                                                ; -2.063 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_OP[1]                ; CLOCK    ;
686
; N/A                                     ; None                                                ; -2.063 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_OP[2]                ; CLOCK    ;
687
; N/A                                     ; None                                                ; -2.063 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_OP[3]                ; CLOCK    ;
688
; N/A                                     ; None                                                ; -2.063 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_OP[0]                ; CLOCK    ;
689
; N/A                                     ; None                                                ; -2.066 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][3]        ; CLOCK    ;
690
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][3]        ; CLOCK    ;
691
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][1]        ; CLOCK    ;
692
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][0]        ; CLOCK    ;
693
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][2]        ; CLOCK    ;
694
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][5]        ; CLOCK    ;
695
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][7]        ; CLOCK    ;
696
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][6]        ; CLOCK    ;
697
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][4]        ; CLOCK    ;
698
; N/A                                     ; None                                                ; -2.078 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][4]        ; CLOCK    ;
699
; N/A                                     ; None                                                ; -2.092 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][7]        ; CLOCK    ;
700
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[1]    ; CLOCK    ;
701
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[2]    ; CLOCK    ;
702
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[8]    ; CLOCK    ;
703
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[5]    ; CLOCK    ;
704
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[3]    ; CLOCK    ;
705
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[6]    ; CLOCK    ;
706
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[4]    ; CLOCK    ;
707
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[7]    ; CLOCK    ;
708
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][5]        ; CLOCK    ;
709
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][1]        ; CLOCK    ;
710
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][0]        ; CLOCK    ;
711
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][2]        ; CLOCK    ;
712
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][7]        ; CLOCK    ;
713
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][6]        ; CLOCK    ;
714
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][4]        ; CLOCK    ;
715
; N/A                                     ; None                                                ; -2.198 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][3]        ; CLOCK    ;
716
; N/A                                     ; None                                                ; -2.212 ns ; RESET ; fpz8_cpu_v1:inst|\main:WORD_DATA                 ; CLOCK    ;
717
; N/A                                     ; None                                                ; -2.249 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[8]                     ; CLOCK    ;
718
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][3]        ; CLOCK    ;
719
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][5]        ; CLOCK    ;
720
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][1]        ; CLOCK    ;
721
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][1]        ; CLOCK    ;
722
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][0]        ; CLOCK    ;
723
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][0]        ; CLOCK    ;
724
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][2]        ; CLOCK    ;
725
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][2]        ; CLOCK    ;
726
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][4]        ; CLOCK    ;
727
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][7]        ; CLOCK    ;
728
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][6]        ; CLOCK    ;
729
; N/A                                     ; None                                                ; -2.295 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][3]        ; CLOCK    ;
730
; N/A                                     ; None                                                ; -2.295 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][7]        ; CLOCK    ;
731
; N/A                                     ; None                                                ; -2.295 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][6]        ; CLOCK    ;
732
; N/A                                     ; None                                                ; -2.298 ns ; RESET ; fpz8_cpu_v1:inst|MODB[5]                         ; CLOCK    ;
733
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][1]        ; CLOCK    ;
734
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][2]        ; CLOCK    ;
735
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][5]        ; CLOCK    ;
736
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][4]        ; CLOCK    ;
737
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][6]        ; CLOCK    ;
738
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][7]        ; CLOCK    ;
739
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_REV      ; CLOCK    ;
740
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][3]        ; CLOCK    ;
741
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][5]        ; CLOCK    ;
742
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][1]        ; CLOCK    ;
743
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][0]        ; CLOCK    ;
744
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][4]        ; CLOCK    ;
745
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][2]        ; CLOCK    ;
746
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;           ;       ;                                                  ;          ;
747
+-----------------------------------------+-----------------------------------------------------+-----------+-------+--------------------------------------------------+----------+
748
 
749
 
750
+--------------------------+
751
; Timing Analyzer Messages ;
752
+--------------------------+
753
Info: *******************************************************************
754
Info: Running Quartus II Classic Timing Analyzer
755
    Info: Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition
756
    Info: Processing started: Thu Nov 10 23:30:00 2016
757
Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off FPz8 -c FPz8 --timing_analysis_only
758
Warning: Found pins functioning as undefined clocks and/or memory enables
759
    Info: Assuming node "CLOCK" is an undefined clock
760
Info: Clock "CLOCK" has Internal fmax of 23.67 MHz between source memory "altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg" and destination register "fpz8_cpu_v1:inst|\main:PC[12]" (period= 42.244 ns)
761
    Info: + Longest memory to register delay is 20.880 ns
762
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X11_Y15; Fanout = 1; MEM Node = 'altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg'
763
        Info: 2: + IC(0.000 ns) + CELL(2.993 ns) = 2.993 ns; Loc. = M4K_X11_Y15; Fanout = 1; MEM Node = 'altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0'
764
        Info: 3: + IC(1.391 ns) + CELL(0.150 ns) = 4.534 ns; Loc. = LCCOMB_X24_Y15_N28; Fanout = 1; COMB Node = 'altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|mux_kib:mux2|result_node[0]~8'
765
        Info: 4: + IC(0.244 ns) + CELL(0.416 ns) = 5.194 ns; Loc. = LCCOMB_X24_Y15_N18; Fanout = 26; COMB Node = 'altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|mux_kib:mux2|result_node[0]~9'
766
        Info: 5: + IC(0.295 ns) + CELL(0.150 ns) = 5.639 ns; Loc. = LCCOMB_X24_Y15_N0; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|Mux37~5'
767
        Info: 6: + IC(0.251 ns) + CELL(0.150 ns) = 6.040 ns; Loc. = LCCOMB_X24_Y15_N22; Fanout = 150; COMB Node = 'fpz8_cpu_v1:inst|Mux37~6'
768
        Info: 7: + IC(1.264 ns) + CELL(0.150 ns) = 7.454 ns; Loc. = LCCOMB_X29_Y12_N14; Fanout = 23; COMB Node = 'fpz8_cpu_v1:inst|Equal74~2'
769
        Info: 8: + IC(0.269 ns) + CELL(0.275 ns) = 7.998 ns; Loc. = LCCOMB_X29_Y12_N8; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|IQUEUE~14'
770
        Info: 9: + IC(0.262 ns) + CELL(0.271 ns) = 8.531 ns; Loc. = LCCOMB_X29_Y12_N18; Fanout = 13; COMB Node = 'fpz8_cpu_v1:inst|IQUEUE~15'
771
        Info: 10: + IC(0.721 ns) + CELL(0.150 ns) = 9.402 ns; Loc. = LCCOMB_X29_Y13_N6; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|Mux801~0'
772
        Info: 11: + IC(0.258 ns) + CELL(0.150 ns) = 9.810 ns; Loc. = LCCOMB_X29_Y13_N20; Fanout = 7; COMB Node = 'fpz8_cpu_v1:inst|Mux801~1'
773
        Info: 12: + IC(0.768 ns) + CELL(0.150 ns) = 10.728 ns; Loc. = LCCOMB_X29_Y15_N18; Fanout = 4; COMB Node = 'fpz8_cpu_v1:inst|Equal76~1'
774
        Info: 13: + IC(0.781 ns) + CELL(0.275 ns) = 11.784 ns; Loc. = LCCOMB_X29_Y12_N16; Fanout = 10; COMB Node = 'fpz8_cpu_v1:inst|Equal75~0'
775
        Info: 14: + IC(0.675 ns) + CELL(0.275 ns) = 12.734 ns; Loc. = LCCOMB_X30_Y11_N26; Fanout = 5; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~24'
776
        Info: 15: + IC(0.270 ns) + CELL(0.150 ns) = 13.154 ns; Loc. = LCCOMB_X30_Y11_N16; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~27'
777
        Info: 16: + IC(0.690 ns) + CELL(0.150 ns) = 13.994 ns; Loc. = LCCOMB_X29_Y10_N28; Fanout = 3; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~31'
778
        Info: 17: + IC(0.742 ns) + CELL(0.150 ns) = 14.886 ns; Loc. = LCCOMB_X29_Y11_N30; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~61'
779
        Info: 18: + IC(0.252 ns) + CELL(0.149 ns) = 15.287 ns; Loc. = LCCOMB_X29_Y11_N20; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~62'
780
        Info: 19: + IC(0.269 ns) + CELL(0.271 ns) = 15.827 ns; Loc. = LCCOMB_X29_Y11_N14; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~63'
781
        Info: 20: + IC(0.252 ns) + CELL(0.275 ns) = 16.354 ns; Loc. = LCCOMB_X29_Y11_N28; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~91'
782
        Info: 21: + IC(0.252 ns) + CELL(0.150 ns) = 16.756 ns; Loc. = LCCOMB_X29_Y11_N6; Fanout = 7; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~92'
783
        Info: 22: + IC(1.503 ns) + CELL(0.393 ns) = 18.652 ns; Loc. = LCCOMB_X15_Y14_N0; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~1'
784
        Info: 23: + IC(0.000 ns) + CELL(0.071 ns) = 18.723 ns; Loc. = LCCOMB_X15_Y14_N2; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~3'
785
        Info: 24: + IC(0.000 ns) + CELL(0.071 ns) = 18.794 ns; Loc. = LCCOMB_X15_Y14_N4; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~5'
786
        Info: 25: + IC(0.000 ns) + CELL(0.071 ns) = 18.865 ns; Loc. = LCCOMB_X15_Y14_N6; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~7'
787
        Info: 26: + IC(0.000 ns) + CELL(0.071 ns) = 18.936 ns; Loc. = LCCOMB_X15_Y14_N8; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~9'
788
        Info: 27: + IC(0.000 ns) + CELL(0.071 ns) = 19.007 ns; Loc. = LCCOMB_X15_Y14_N10; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~11'
789
        Info: 28: + IC(0.000 ns) + CELL(0.071 ns) = 19.078 ns; Loc. = LCCOMB_X15_Y14_N12; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~13'
790
        Info: 29: + IC(0.000 ns) + CELL(0.159 ns) = 19.237 ns; Loc. = LCCOMB_X15_Y14_N14; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~15'
791
        Info: 30: + IC(0.000 ns) + CELL(0.071 ns) = 19.308 ns; Loc. = LCCOMB_X15_Y14_N16; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~17'
792
        Info: 31: + IC(0.000 ns) + CELL(0.071 ns) = 19.379 ns; Loc. = LCCOMB_X15_Y14_N18; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~19'
793
        Info: 32: + IC(0.000 ns) + CELL(0.071 ns) = 19.450 ns; Loc. = LCCOMB_X15_Y14_N20; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~21'
794
        Info: 33: + IC(0.000 ns) + CELL(0.071 ns) = 19.521 ns; Loc. = LCCOMB_X15_Y14_N22; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~23'
795
        Info: 34: + IC(0.000 ns) + CELL(0.410 ns) = 19.931 ns; Loc. = LCCOMB_X15_Y14_N24; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|Add33~24'
796
        Info: 35: + IC(0.715 ns) + CELL(0.150 ns) = 20.796 ns; Loc. = LCCOMB_X16_Y13_N22; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|Selector443~4'
797
        Info: 36: + IC(0.000 ns) + CELL(0.084 ns) = 20.880 ns; Loc. = LCFF_X16_Y13_N23; Fanout = 3; REG Node = 'fpz8_cpu_v1:inst|\main:PC[12]'
798
        Info: Total cell delay = 8.756 ns ( 41.93 % )
799
        Info: Total interconnect delay = 12.124 ns ( 58.07 % )
800
    Info: - Smallest clock skew is -0.069 ns
801
        Info: + Shortest clock path from clock "CLOCK" to destination register is 2.411 ns
802
            Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_17; Fanout = 1; CLK Node = 'CLOCK'
803
            Info: 2: + IC(0.118 ns) + CELL(0.000 ns) = 1.097 ns; Loc. = CLKCTRL_G2; Fanout = 1039; COMB Node = 'CLOCK~clkctrl'
804
            Info: 3: + IC(0.777 ns) + CELL(0.537 ns) = 2.411 ns; Loc. = LCFF_X16_Y13_N23; Fanout = 3; REG Node = 'fpz8_cpu_v1:inst|\main:PC[12]'
805
            Info: Total cell delay = 1.516 ns ( 62.88 % )
806
            Info: Total interconnect delay = 0.895 ns ( 37.12 % )
807
        Info: - Longest clock path from clock "CLOCK" to source memory is 2.480 ns
808
            Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_17; Fanout = 1; CLK Node = 'CLOCK'
809
            Info: 2: + IC(0.118 ns) + CELL(0.000 ns) = 1.097 ns; Loc. = CLKCTRL_G2; Fanout = 1039; COMB Node = 'CLOCK~clkctrl'
810
            Info: 3: + IC(0.722 ns) + CELL(0.661 ns) = 2.480 ns; Loc. = M4K_X11_Y15; Fanout = 1; MEM Node = 'altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg'
811
            Info: Total cell delay = 1.640 ns ( 66.13 % )
812
            Info: Total interconnect delay = 0.840 ns ( 33.87 % )
813
    Info: + Micro clock to output delay of source is 0.209 ns
814
    Info: + Micro setup delay of destination is -0.036 ns
815
    Info: Delay path is controlled by inverted clocks -- if clock duty cycle is 50%, fmax is divided by two
816
Info: tsu for register "fpz8_cpu_v1:inst|\main:DEST_ADDR16[5]" (data pin = "RESET", clock pin = "CLOCK") is 7.296 ns
817
    Info: + Longest pin to register delay is 9.765 ns
818
        Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_18; Fanout = 2; PIN Node = 'RESET'
819
        Info: 2: + IC(1.033 ns) + CELL(0.275 ns) = 2.287 ns; Loc. = LCCOMB_X10_Y13_N24; Fanout = 208; COMB Node = 'fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WAIT_CMD~0'
820
        Info: 3: + IC(1.104 ns) + CELL(0.438 ns) = 3.829 ns; Loc. = LCCOMB_X13_Y10_N18; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]~3'
821
        Info: 4: + IC(0.430 ns) + CELL(0.150 ns) = 4.409 ns; Loc. = LCCOMB_X14_Y10_N20; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]~4'
822
        Info: 5: + IC(0.256 ns) + CELL(0.275 ns) = 4.940 ns; Loc. = LCCOMB_X14_Y10_N30; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]~5'
823
        Info: 6: + IC(0.251 ns) + CELL(0.150 ns) = 5.341 ns; Loc. = LCCOMB_X14_Y10_N4; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]~6'
824
        Info: 7: + IC(1.287 ns) + CELL(0.275 ns) = 6.903 ns; Loc. = LCCOMB_X16_Y15_N14; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]~7'
825
        Info: 8: + IC(0.761 ns) + CELL(0.150 ns) = 7.814 ns; Loc. = LCCOMB_X17_Y12_N20; Fanout = 8; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[7]~11'
826
        Info: 9: + IC(1.291 ns) + CELL(0.660 ns) = 9.765 ns; Loc. = LCFF_X16_Y17_N17; Fanout = 5; REG Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[5]'
827
        Info: Total cell delay = 3.352 ns ( 34.33 % )
828
        Info: Total interconnect delay = 6.413 ns ( 65.67 % )
829
    Info: + Micro setup delay of destination is -0.036 ns
830
    Info: - Shortest clock path from clock "CLOCK" to destination register is 2.433 ns
831
        Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_17; Fanout = 1; CLK Node = 'CLOCK'
832
        Info: 2: + IC(0.118 ns) + CELL(0.000 ns) = 1.097 ns; Loc. = CLKCTRL_G2; Fanout = 1039; COMB Node = 'CLOCK~clkctrl'
833
        Info: 3: + IC(0.799 ns) + CELL(0.537 ns) = 2.433 ns; Loc. = LCFF_X16_Y17_N17; Fanout = 5; REG Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[5]'
834
        Info: Total cell delay = 1.516 ns ( 62.31 % )
835
        Info: Total interconnect delay = 0.917 ns ( 37.69 % )
836
Info: tco from clock "CLOCK" to destination pin "PAOUT[0]" through register "fpz8_cpu_v1:inst|PAOUT[0]" is 8.415 ns
837
    Info: + Longest clock path from clock "CLOCK" to source register is 2.425 ns
838
        Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_17; Fanout = 1; CLK Node = 'CLOCK'
839
        Info: 2: + IC(0.118 ns) + CELL(0.000 ns) = 1.097 ns; Loc. = CLKCTRL_G2; Fanout = 1039; COMB Node = 'CLOCK~clkctrl'
840
        Info: 3: + IC(0.791 ns) + CELL(0.537 ns) = 2.425 ns; Loc. = LCFF_X10_Y4_N17; Fanout = 2; REG Node = 'fpz8_cpu_v1:inst|PAOUT[0]'
841
        Info: Total cell delay = 1.516 ns ( 62.52 % )
842
        Info: Total interconnect delay = 0.909 ns ( 37.48 % )
843
    Info: + Micro clock to output delay of source is 0.250 ns
844
    Info: + Longest register to pin delay is 5.740 ns
845
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LCFF_X10_Y4_N17; Fanout = 2; REG Node = 'fpz8_cpu_v1:inst|PAOUT[0]'
846
        Info: 2: + IC(2.942 ns) + CELL(2.798 ns) = 5.740 ns; Loc. = PIN_133; Fanout = 0; PIN Node = 'PAOUT[0]'
847
        Info: Total cell delay = 2.798 ns ( 48.75 % )
848
        Info: Total interconnect delay = 2.942 ns ( 51.25 % )
849
Info: th for register "fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_PC2" (data pin = "RESET", clock pin = "CLOCK") is -1.011 ns
850
    Info: + Longest clock path from clock "CLOCK" to destination register is 2.417 ns
851
        Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_17; Fanout = 1; CLK Node = 'CLOCK'
852
        Info: 2: + IC(0.118 ns) + CELL(0.000 ns) = 1.097 ns; Loc. = CLKCTRL_G2; Fanout = 1039; COMB Node = 'CLOCK~clkctrl'
853
        Info: 3: + IC(0.783 ns) + CELL(0.537 ns) = 2.417 ns; Loc. = LCFF_X10_Y14_N13; Fanout = 6; REG Node = 'fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_PC2'
854
        Info: Total cell delay = 1.516 ns ( 62.72 % )
855
        Info: Total interconnect delay = 0.901 ns ( 37.28 % )
856
    Info: + Micro hold delay of destination is 0.266 ns
857
    Info: - Shortest pin to register delay is 3.694 ns
858
        Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_18; Fanout = 2; PIN Node = 'RESET'
859
        Info: 2: + IC(1.033 ns) + CELL(0.275 ns) = 2.287 ns; Loc. = LCCOMB_X10_Y13_N24; Fanout = 208; COMB Node = 'fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WAIT_CMD~0'
860
        Info: 3: + IC(0.747 ns) + CELL(0.660 ns) = 3.694 ns; Loc. = LCFF_X10_Y14_N13; Fanout = 6; REG Node = 'fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_PC2'
861
        Info: Total cell delay = 1.914 ns ( 51.81 % )
862
        Info: Total interconnect delay = 1.780 ns ( 48.19 % )
863
Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning
864
    Info: Peak virtual memory: 206 megabytes
865
    Info: Processing ended: Thu Nov 10 23:30:04 2016
866
    Info: Elapsed time: 00:00:04
867
    Info: Total CPU time (on all processors): 00:00:03
868
 
869
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.