OpenCores
URL https://opencores.org/ocsvn/fpz8/fpz8/trunk

Subversion Repositories fpz8

[/] [FPz8_Cyclone_IV.qsf] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fabiop
# Copyright (C) 1991-2007 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files from any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               FPz8_Cyclone_IV_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name DEVICE EP4CE6E22C8
27
set_global_assignment -name FAMILY "Cyclone IV E"
28
set_global_assignment -name TOP_LEVEL_ENTITY CPU
29
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "9.1 SP2"
30
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:17:27  NOVEMBER 03, 2016"
31
set_global_assignment -name LAST_QUARTUS_VERSION "9.1 SP2"
32
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
33
set_global_assignment -name SIMULATION_MODE FUNCTIONAL
34
set_global_assignment -name USER_LIBRARIES "C:\\Documents and Settings\\Fábio Pereira\\My Documents\\vhdl\\ahmes"
35
set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION ON
36
set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS OFF
37
set_global_assignment -name INCREMENTAL_COMPILATION OFF
38
set_global_assignment -name MISC_FILE "E:/VHDL/AHMES_IO2/AHMES.dpf"
39
set_global_assignment -name END_TIME "500 ns"
40
set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE cpu.vwf
41
set_global_assignment -name SMART_RECOMPILE ON
42
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
43
set_global_assignment -name SEARCH_PATH "C:\\Documents and Settings\\Fábio Pereira\\My Documents\\vhdl\\ahmes/"
44
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
45
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
46
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
47
set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
48
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
49
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
50
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
51
set_location_assignment PIN_24 -to CLOCK
52
set_location_assignment PIN_88 -to RESET
53
set_location_assignment PIN_91 -to DBG_RX
54
set_location_assignment PIN_98 -to DBG_TX
55
set_location_assignment PIN_10 -to PAOUT[0]
56
set_location_assignment PIN_11 -to PAOUT[1]
57
set_location_assignment PIN_28 -to PAOUT[2]
58
set_location_assignment PIN_30 -to PAOUT[3]
59
set_location_assignment PIN_31 -to PAOUT[4]
60
set_location_assignment PIN_32 -to PAOUT[5]
61
set_location_assignment PIN_33 -to PAOUT[6]
62
set_location_assignment PIN_34 -to PAOUT[7]
63
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF
64
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
65
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
66
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF
67
set_global_assignment -name SDC_FILE FPz8_Cyclone_IV.out.sdc
68
set_global_assignment -name BDF_FILE CPU.bdf
69
set_global_assignment -name VECTOR_WAVEFORM_FILE cpu.vwf
70
set_global_assignment -name VHDL_FILE fpz8_cpu_v1.vhd
71
set_global_assignment -name QIP_FILE altsyncram0.qip
72
set_global_assignment -name MIF_FILE FPZ8_test.mif
73
set_global_assignment -name QIP_FILE altsyncram1.qip
74
set_global_assignment -name QIP_FILE altsyncram2.qip
75
set_global_assignment -name MIF_FILE FPZ8_test_LDX_IRR2_IR1.mif
76
set_global_assignment -name MIF_FILE FPZ8_test_DJNZ_JR.mif
77
set_global_assignment -name MIF_FILE FPZ8_test_CALL_RET.mif
78
set_global_assignment -name MIF_FILE FPZ8_test_INTERRUPT.mif
79
set_global_assignment -name MIF_FILE FPZ8_test_TRAP.mif
80
set_global_assignment -name MIF_FILE FPZ8_test_LDC.mif
81
set_global_assignment -name MIF_FILE FPZ8_test_LDCI.mif
82
set_global_assignment -name SOURCE_FILE altsyncram0.cmp
83
set_global_assignment -name VHDL_FILE altsyncram0.vhd
84
set_global_assignment -name SOURCE_FILE altsyncram1.cmp
85
set_global_assignment -name VHDL_FILE altsyncram1.vhd
86
set_global_assignment -name SOURCE_FILE altsyncram2.cmp
87 3 fabiop
set_global_assignment -name VHDL_FILE altsyncram2.vhd
88
set_global_assignment -name VHDL_FILE fpz8_timer.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.