OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [rev_86/] [rtl/] [Spartan3/] [tracebug_rom8k_b16.vhd] - Blame information for rev 190

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 dilbert57
-- $Id: tracebug_rom8k_b16.vhd,v 1.2 2008-03-14 15:52:43 dilbert57 Exp $
2 19 dilbert57
 
3
--=============================================================
4
 
5
--
6
 
7
-- TRACE_BUG9 V1.1 ROM
8
 
9
--
10
 
11
--=============================================================
12
 
13
--
14
 
15
-- Date: 24 May 2006
16
 
17
-- Author: John Kent
18
 
19
--
20
 
21
-- Revision History:
22
 
23
-- 24 April 2006 John Kent
24
 
25
-- Version 1.0 initial release
26
 
27
--
28
 
29
-- 24 May 2006 John Kent
30
 
31
-- Version 1.1 trace timer extended by one cycle for Ref6809
32
--
33
-- 29th June 2005 John Kent
34
-- Version 1.1 Added CS term to CE decodes.
35
 
36
--
37
 
38
library IEEE;
39
 
40
        use IEEE.STD_LOGIC_1164.ALL;
41
 
42
        use IEEE.STD_LOGIC_ARITH.ALL;
43
 
44
library unisim;
45
 
46
        use unisim.vcomponents.all;
47
 
48
 
49
 
50
entity rom_8k is
51
 
52
    Port (
53
 
54
        clk   : in  std_logic;
55
 
56
        rst   : in  std_logic;
57
 
58
        cs    : in  std_logic;
59
 
60
        rw    : in  std_logic;
61
 
62
        addr  : in  std_logic_vector (12 downto 0);
63
 
64
        rdata : out std_logic_vector (7 downto 0);
65
 
66
        wdata : in  std_logic_vector (7 downto 0)
67
 
68
    );
69
 
70
end rom_8k;
71
 
72
 
73
 
74
architecture rtl of rom_8k is
75
 
76
 
77
   component RAMB16_S9
78
 
79
    generic (
80
 
81
           INIT_00, INIT_01, INIT_02, INIT_03,
82
 
83
           INIT_04, INIT_05, INIT_06, INIT_07,
84
 
85
           INIT_08, INIT_09, INIT_0A, INIT_0B,
86
 
87
      INIT_0C, INIT_0D, INIT_0E, INIT_0F,
88
 
89
      INIT_10, INIT_11, INIT_12, INIT_13,
90
 
91
           INIT_14, INIT_15, INIT_16, INIT_17,
92
 
93
           INIT_18, INIT_19, INIT_1A, INIT_1B,
94
 
95
      INIT_1C, INIT_1D, INIT_1E, INIT_1F,
96
 
97
      INIT_20, INIT_21, INIT_22, INIT_23,
98
 
99
           INIT_24, INIT_25, INIT_26, INIT_27,
100
 
101
           INIT_28, INIT_29, INIT_2A, INIT_2B,
102
 
103
      INIT_2C, INIT_2D, INIT_2E, INIT_2F,
104
 
105
      INIT_30, INIT_31, INIT_32, INIT_33,
106
 
107
           INIT_34, INIT_35, INIT_36, INIT_37,
108
 
109
           INIT_38, INIT_39, INIT_3A, INIT_3B,
110
 
111
      INIT_3C, INIT_3D, INIT_3E, INIT_3F : bit_vector (255 downto 0)
112
 
113
    );
114
 
115
 
116
    port (
117
 
118
        do   : out std_logic_vector(7 downto 0);
119
 
120
        dop0 : out std_logic;
121
        addr : in std_logic_vector(10 downto 0);
122
 
123
        clk  : in std_logic;
124
 
125
        di   : in std_logic_vector(7 downto 0);
126
 
127
        dip0 : in std_logic;
128
 
129
        en   : in std_logic;
130
 
131
        ssr  : in std_logic;
132
 
133
        we   : in std_logic
134
 
135
    );
136
  end component RAMB16_S9;
137
 
138
 
139
 
140
signal we      : std_logic;
141
 
142
signal dp      : std_logic_vector(3 downto 0);
143
 
144
signal ce      : std_logic_vector(3 downto 0);
145
 
146
signal rdata_0 : std_logic_vector(7 downto 0);
147
 
148
signal rdata_1 : std_logic_vector(7 downto 0);
149
 
150
signal rdata_2 : std_logic_vector(7 downto 0);
151
 
152
signal rdata_3 : std_logic_vector(7 downto 0);
153
 
154
 
155
 
156
begin
157
 
158
 
159
  ROM0 : RAMB16_S9
160
    generic map (
161
 
162
   INIT_00 => x"8AE28AE28AE28AE28AE28AE28AE2C1E4C5E4D0E405E5D7E403E5E8E479E038E0",
163
 
164
    INIT_01 => x"C6C07F8E108CE58EC07FCE108AE28AE28AE28AE23EE52FE525E512E58AE28AE2",
165
 
166
    INIT_02 => x"A7D0866AAFDD8C30FB265AE26F0CC6760117D67FBF08808EF9265AA0A780A612",
167
 
168
    INIT_03 => x"17420417BAE58E5704179EE58EC50117A20417DA7FB70386D97FB70386431FE4",
169
 
170
    INIT_04 => x"4DE58E1803176B0417408B981F7204175E86092C2081891FF1270D817F846604",
171
 
172
    INIT_05 => x"E58E121F2D29BA0217C22094ADC6201F0417BCE58EF5268CE58C02300F2780E1",
173
 
174
    INIT_06 => x"271881E12708811128AD0217E10217DA0317A4A6E90217DA0317211FFD0317C2",
175
 
176
    INIT_07 => x"BE203F31C22021310D04173F86C202170827A4A1A4A7390F260D8117275E81DD",
177
 
178
    INIT_08 => x"34F0C41000C3101F390124E1AC203406295B021705201F30C07F8E321F350317",
179
 
180
    INIT_09 => x"630317E4AE860317C2E58E10343962320327A903170527E4AC011FF0C4201F06",
181
 
182
    INIT_0a => x"237E810425208180A610C6E1AE600217F5265A68021761031780A610C6700217",
183
 
184
    INIT_0b => x"C8930317072653817003175F3B341F390128720217BC20EE265A9F03172E8602",
185
 
186
    INIT_0c => x"8C1F29D7011739DA7FF7F22002C8800317072653815D03175F39D97FF7F22002",
187
 
188
    INIT_0d => x"173984A73F86A4AFA0A709273F8184A60F271035DF0017FFFF8E10341B24C07F",
189
 
190
    INIT_0e => x"29950117D901171C2909021739FA265AA1001708C6DB7F8E103E03163F86F301",
191
 
192
    INIT_0f => x"0480B60580B73686431F392020450017CC7FBFF6E18ED27FBFCC7FBED47FBF14",
193
 
194
    INIT_10 => x"80B736860480B70D86341FD47FBF1F301F27D47FBE24273F8184A64AAE170217",
195
 
196
    INIT_11 => x"B73A860580B7328641FE16CC7FBFD27FBE3B0580B73F860780B736860480B605",
197
 
198
    INIT_12 => x"272C8D1F304AAE431F390780B73E860580B736860680B700860480B7FF860780",
199
 
200
    INIT_13 => x"A4A604263F8184A60A24C07F8C21AE08FE16D47FBF00008EB201170C8D4AAF04",
201
 
202
    INIT_14 => x"1186393D3139F7265A0427A1ACA0A608C6DB7F8E1039A0A7A0A7A0A7FF8684A7",
203
 
204
    INIT_15 => x"001726290234CA0017F12631813C2739814F0217F9265381560217D87F7F528D",
205
 
206
    INIT_16 => x"0527E46AE0EB02340C290435B000170434E46AE46AE4EBE0EBE0E610342129B3",
207
 
208
    INIT_17 => x"AC4A2930346F8DE26F0E02161386D87F73058D3F86B327FFC102355FEB2080A7",
209
 
210
    INIT_18 => x"1703E68E64E720C6022320008310062762A3E4ECF901171286E4AF0130462562",
211
 
212
    INIT_19 => x"981F53F526646A72011780A684EB63EB62EB75011762AE820117981F03CB9F01",
213
 
214
    INIT_1a => x"A0A60929188D5D8D3E8610341529188D3965326A8D1486C326E4AC62AF680117",
215
 
216
    INIT_1b => x"10343229088D011F38290E8D438D2D86121F4229088D391035F726E4AC1080A7",
217
 
218
    INIT_1c => x"39811225308164011739E0AB04341E29078D891F484848482829118D903561A7",
219
 
220
    INIT_1d => x"8DF68D8500174B01162086008D39021A39378003224681072541813930800322",
221
 
222
    INIT_1e => x"7E8D3943A70229AB8DDE8D8000173941A70229B78DEA8D8300173944AF0229B3",
223
 
224
    INIT_1f => x"3946AF022979FF17BD8D7A8D3948AF0229858DC88D7C8D394AAF0229908DD38D",
225
 
226
    INIT_20 => x"A10017C2E58E39C4A7808A042971FF17A58D748D3942A702297DFF17B18D778D",
227
 
228
    INIT_21 => x"311F920017C6E58E4A20438D3C8D358D910017C2E58E348D2D8D268D1E8D168D",
229
 
230
    INIT_22 => x"E58E4D2043A6768DE4E58E562041A67F8DEAE58E572044AE880017DEE58E6120",
231
 
232
    INIT_23 => x"42A6528DEFE58E2A2046AE5B8DD8E58E332048AE648DD2E58E3C204AAE6D8DCC",
233
 
234
    INIT_24 => x"265A17FF176A00172D860225E46880A608C60234FBE58EC4A6498DF4E58E2920",
235
 
236
    INIT_25 => x"20078B022F3981308B0F840235048D4444444402340235028D023510348235EF",
237
 
238
    INIT_26 => x"2702C54FDA7FF6063439F826048180A6358D9035048DB4E58E10340B20028D44",
239
 
240
    INIT_27 => x"7F7D8435EE2002203700170527328D092702C5DA7FF6043486354F0126428D04",
241
 
242
    INIT_28 => x"86016D84A7118684A70386D67FBE84352E8D022702C5D97FF60434E38DE527D8",
243
 
244
    INIT_29 => x"1434903501A6FA27018584A6D67FBE103482350185D67F9FA6023439D87FB7FF",
245
 
246
    INIT_2a => x"18E9E315DEE310D2E3040CE40300E402C6E301943501A7FA2702C584E6D67FBE",
247
 
248
    INIT_2b => x"1AE452F7E25074E14FB7E04D9EE24C87E1496BE1470CE1459AE142F4E319BAE3",
249
 
250
    INIT_2c => x"0000FFFFFFFF34E057E273E173E173E173E173E150E35AC7E158D4E15400E153",
251
 
252
    INIT_2d => x"54414857043E040000000A0D04312E315620394755425F434D430000000A0D00",
253
 
254
    INIT_2e => x"2020043D59492020043D50552020043D43502020043D5053202004202D20043F",
255
 
256
    INIT_2f => x"4E4948464504203A43432020043D422020043D412020043D50442020043D5849",
257
 
258
    INIT_30 => x"431FCC7F9F6ECA7F9F6EC87F9F6EC67F9F6EC47F9F6EC07F9F6E04315343565A",
259
 
260
    INIT_31 => x"F16E44AEC4EC10340822D07FBC8B300F27FFFF8CCE7FBE49584F4AAF80E64AAE",
261
 
262
    INIT_32 => x"000000000000000000000000000000000000000000000000C27F9F6E42EE1F37",
263
 
264
    INIT_33 => x"0000000000000000000000000000000000000000000000000000000000000000",
265
 
266
    INIT_34 => x"0000000000000000000000000000000000000000000000000000000000000000",
267
 
268
    INIT_35 => x"0000000000000000000000000000000000000000000000000000000000000000",
269
 
270
    INIT_36 => x"0000000000000000000000000000000000000000000000000000000000000000",
271
 
272
    INIT_37 => x"0000000000000000000000000000000000000000000000000000000000000000",
273
 
274
    INIT_38 => x"0000000000000000000000000000000000000000000000000000000000000000",
275
 
276
    INIT_39 => x"0000000000000000000000000000000000000000000000000000000000000000",
277
 
278
    INIT_3a => x"0000000000000000000000000000000000000000000000000000000000000000",
279
 
280
    INIT_3b => x"0000000000000000000000000000000000000000000000000000000000000000",
281
 
282
    INIT_3c => x"0000000000000000000000000000000000000000000000000000000000000000",
283
 
284
    INIT_3d => x"0000000000000000000000000000000000000000000000000000000000000000",
285
 
286
    INIT_3e => x"0000000000000000000000000000000000000000000000000000000000000000",
287
 
288
    INIT_3f => x"0000000000000000000000000000000000000000000000000000000000000000"
289
 
290
   )
291
 
292
 
293
    port map (
294
 
295
          do   => rdata_0,
296
 
297
          dop0 => dp(0),
298
 
299
          addr => addr(10 downto 0),
300
 
301
          clk  => clk,
302
 
303
     di   => wdata,
304
 
305
          dip0 => dp(0),
306
 
307
          en   => ce(0),
308
 
309
          ssr  => rst,
310
 
311
          we   => we
312
        );
313
 
314
 
315
 
316
  ROM1 : RAMB16_S9
317
    generic map (
318
 
319
    INIT_00 => x"0000000000000000000000000000000000000000000000000000000000000000",
320
 
321
    INIT_01 => x"0000000000000000000000000000000000000000000000000000000000000000",
322
 
323
    INIT_02 => x"0000000000000000000000000000000000000000000000000000000000000000",
324
 
325
    INIT_03 => x"0000000000000000000000000000000000000000000000000000000000000000",
326
 
327
    INIT_04 => x"0000000000000000000000000000000000000000000000000000000000000000",
328
 
329
    INIT_05 => x"0000000000000000000000000000000000000000000000000000000000000000",
330
 
331
    INIT_06 => x"0000000000000000000000000000000000000000000000000000000000000000",
332
 
333
    INIT_07 => x"0000000000000000000000000000000000000000000000000000000000000000",
334
 
335
    INIT_08 => x"0000000000000000000000000000000000000000000000000000000000000000",
336
 
337
    INIT_09 => x"0000000000000000000000000000000000000000000000000000000000000000",
338
 
339
    INIT_0a => x"0000000000000000000000000000000000000000000000000000000000000000",
340
 
341
    INIT_0b => x"0000000000000000000000000000000000000000000000000000000000000000",
342
 
343
    INIT_0c => x"0000000000000000000000000000000000000000000000000000000000000000",
344
 
345
    INIT_0d => x"0000000000000000000000000000000000000000000000000000000000000000",
346
 
347
    INIT_0e => x"0000000000000000000000000000000000000000000000000000000000000000",
348
 
349
    INIT_0f => x"0000000000000000000000000000000000000000000000000000000000000000",
350
 
351
    INIT_10 => x"0000000000000000000000000000000000000000000000000000000000000000",
352
 
353
    INIT_11 => x"0000000000000000000000000000000000000000000000000000000000000000",
354
 
355
    INIT_12 => x"0000000000000000000000000000000000000000000000000000000000000000",
356
 
357
    INIT_13 => x"0000000000000000000000000000000000000000000000000000000000000000",
358
 
359
    INIT_14 => x"0000000000000000000000000000000000000000000000000000000000000000",
360
 
361
    INIT_15 => x"0000000000000000000000000000000000000000000000000000000000000000",
362
 
363
    INIT_16 => x"0000000000000000000000000000000000000000000000000000000000000000",
364
 
365
    INIT_17 => x"0000000000000000000000000000000000000000000000000000000000000000",
366
 
367
    INIT_18 => x"0000000000000000000000000000000000000000000000000000000000000000",
368
 
369
    INIT_19 => x"0000000000000000000000000000000000000000000000000000000000000000",
370
 
371
    INIT_1a => x"0000000000000000000000000000000000000000000000000000000000000000",
372
 
373
    INIT_1b => x"0000000000000000000000000000000000000000000000000000000000000000",
374
 
375
    INIT_1c => x"0000000000000000000000000000000000000000000000000000000000000000",
376
 
377
    INIT_1d => x"0000000000000000000000000000000000000000000000000000000000000000",
378
 
379
    INIT_1e => x"0000000000000000000000000000000000000000000000000000000000000000",
380
 
381
    INIT_1f => x"0000000000000000000000000000000000000000000000000000000000000000",
382
 
383
    INIT_20 => x"0000000000000000000000000000000000000000000000000000000000000000",
384
 
385
    INIT_21 => x"0000000000000000000000000000000000000000000000000000000000000000",
386
 
387
    INIT_22 => x"0000000000000000000000000000000000000000000000000000000000000000",
388
 
389
    INIT_23 => x"0000000000000000000000000000000000000000000000000000000000000000",
390
 
391
    INIT_24 => x"0000000000000000000000000000000000000000000000000000000000000000",
392
 
393
    INIT_25 => x"0000000000000000000000000000000000000000000000000000000000000000",
394
 
395
    INIT_26 => x"0000000000000000000000000000000000000000000000000000000000000000",
396
 
397
    INIT_27 => x"0000000000000000000000000000000000000000000000000000000000000000",
398
 
399
    INIT_28 => x"0000000000000000000000000000000000000000000000000000000000000000",
400
 
401
    INIT_29 => x"0000000000000000000000000000000000000000000000000000000000000000",
402
 
403
    INIT_2a => x"0000000000000000000000000000000000000000000000000000000000000000",
404
 
405
    INIT_2b => x"0000000000000000000000000000000000000000000000000000000000000000",
406
 
407
    INIT_2c => x"0000000000000000000000000000000000000000000000000000000000000000",
408
 
409
    INIT_2d => x"0000000000000000000000000000000000000000000000000000000000000000",
410
 
411
    INIT_2e => x"0000000000000000000000000000000000000000000000000000000000000000",
412
 
413
    INIT_2f => x"0000000000000000000000000000000000000000000000000000000000000000",
414
 
415
    INIT_30 => x"0000000000000000000000000000000000000000000000000000000000000000",
416
 
417
    INIT_31 => x"0000000000000000000000000000000000000000000000000000000000000000",
418
 
419
    INIT_32 => x"0000000000000000000000000000000000000000000000000000000000000000",
420
 
421
    INIT_33 => x"0000000000000000000000000000000000000000000000000000000000000000",
422
 
423
    INIT_34 => x"0000000000000000000000000000000000000000000000000000000000000000",
424
 
425
    INIT_35 => x"0000000000000000000000000000000000000000000000000000000000000000",
426
 
427
    INIT_36 => x"0000000000000000000000000000000000000000000000000000000000000000",
428
 
429
    INIT_37 => x"0000000000000000000000000000000000000000000000000000000000000000",
430
 
431
    INIT_38 => x"0000000000000000000000000000000000000000000000000000000000000000",
432
 
433
    INIT_39 => x"0000000000000000000000000000000000000000000000000000000000000000",
434
 
435
    INIT_3a => x"0000000000000000000000000000000000000000000000000000000000000000",
436
 
437
    INIT_3b => x"0000000000000000000000000000000000000000000000000000000000000000",
438
 
439
    INIT_3c => x"0000000000000000000000000000000000000000000000000000000000000000",
440
 
441
    INIT_3d => x"0000000000000000000000000000000000000000000000000000000000000000",
442
 
443
    INIT_3e => x"0000000000000000000000000000000000000000000000000000000000000000",
444
 
445
    INIT_3f => x"0000000000000000000000000000000000000000000000000000000000000000"
446
 
447
   )
448
 
449
 
450
    port map (
451
 
452
          do   => rdata_1,
453
 
454
          dop0 => dp(1),
455
 
456
          addr => addr(10 downto 0),
457
 
458
          clk  => clk,
459
 
460
     di   => wdata,
461
 
462
          dip0 => dp(1),
463
 
464
          en   => ce(1),
465
 
466
          ssr  => rst,
467
 
468
          we   => we
469
 
470
        );
471
 
472
 
473
 
474
  ROM2 : RAMB16_S9
475
    generic map (
476
 
477
    INIT_00 => x"0000000000000000000000000000000000000000000000000000000000000000",
478
 
479
    INIT_01 => x"0000000000000000000000000000000000000000000000000000000000000000",
480
 
481
    INIT_02 => x"0000000000000000000000000000000000000000000000000000000000000000",
482
 
483
    INIT_03 => x"0000000000000000000000000000000000000000000000000000000000000000",
484
 
485
    INIT_04 => x"0000000000000000000000000000000000000000000000000000000000000000",
486
 
487
    INIT_05 => x"0000000000000000000000000000000000000000000000000000000000000000",
488
 
489
    INIT_06 => x"0000000000000000000000000000000000000000000000000000000000000000",
490
 
491
    INIT_07 => x"0000000000000000000000000000000000000000000000000000000000000000",
492
 
493
    INIT_08 => x"0000000000000000000000000000000000000000000000000000000000000000",
494
 
495
    INIT_09 => x"0000000000000000000000000000000000000000000000000000000000000000",
496
 
497
    INIT_0a => x"0000000000000000000000000000000000000000000000000000000000000000",
498
 
499
    INIT_0b => x"0000000000000000000000000000000000000000000000000000000000000000",
500
 
501
    INIT_0c => x"0000000000000000000000000000000000000000000000000000000000000000",
502
 
503
    INIT_0d => x"0000000000000000000000000000000000000000000000000000000000000000",
504
 
505
    INIT_0e => x"0000000000000000000000000000000000000000000000000000000000000000",
506
 
507
    INIT_0f => x"0000000000000000000000000000000000000000000000000000000000000000",
508
 
509
    INIT_10 => x"0000000000000000000000000000000000000000000000000000000000000000",
510
 
511
    INIT_11 => x"0000000000000000000000000000000000000000000000000000000000000000",
512
 
513
    INIT_12 => x"0000000000000000000000000000000000000000000000000000000000000000",
514
 
515
    INIT_13 => x"0000000000000000000000000000000000000000000000000000000000000000",
516
 
517
    INIT_14 => x"0000000000000000000000000000000000000000000000000000000000000000",
518
 
519
    INIT_15 => x"0000000000000000000000000000000000000000000000000000000000000000",
520
 
521
    INIT_16 => x"0000000000000000000000000000000000000000000000000000000000000000",
522
 
523
    INIT_17 => x"0000000000000000000000000000000000000000000000000000000000000000",
524
 
525
    INIT_18 => x"0000000000000000000000000000000000000000000000000000000000000000",
526
 
527
    INIT_19 => x"0000000000000000000000000000000000000000000000000000000000000000",
528
 
529
    INIT_1a => x"0000000000000000000000000000000000000000000000000000000000000000",
530
 
531
    INIT_1b => x"0000000000000000000000000000000000000000000000000000000000000000",
532
 
533
    INIT_1c => x"0000000000000000000000000000000000000000000000000000000000000000",
534
 
535
    INIT_1d => x"0000000000000000000000000000000000000000000000000000000000000000",
536
 
537
    INIT_1e => x"0000000000000000000000000000000000000000000000000000000000000000",
538
 
539
    INIT_1f => x"0000000000000000000000000000000000000000000000000000000000000000",
540
 
541
    INIT_20 => x"0000000000000000000000000000000000000000000000000000000000000000",
542
 
543
    INIT_21 => x"0000000000000000000000000000000000000000000000000000000000000000",
544
 
545
    INIT_22 => x"0000000000000000000000000000000000000000000000000000000000000000",
546
 
547
    INIT_23 => x"0000000000000000000000000000000000000000000000000000000000000000",
548
 
549
    INIT_24 => x"0000000000000000000000000000000000000000000000000000000000000000",
550
 
551
    INIT_25 => x"0000000000000000000000000000000000000000000000000000000000000000",
552
 
553
    INIT_26 => x"0000000000000000000000000000000000000000000000000000000000000000",
554
 
555
    INIT_27 => x"0000000000000000000000000000000000000000000000000000000000000000",
556
 
557
    INIT_28 => x"0000000000000000000000000000000000000000000000000000000000000000",
558
 
559
    INIT_29 => x"0000000000000000000000000000000000000000000000000000000000000000",
560
 
561
    INIT_2a => x"0000000000000000000000000000000000000000000000000000000000000000",
562
 
563
    INIT_2b => x"0000000000000000000000000000000000000000000000000000000000000000",
564
 
565
    INIT_2c => x"0000000000000000000000000000000000000000000000000000000000000000",
566
 
567
    INIT_2d => x"0000000000000000000000000000000000000000000000000000000000000000",
568
 
569
    INIT_2e => x"0000000000000000000000000000000000000000000000000000000000000000",
570
 
571
    INIT_2f => x"0000000000000000000000000000000000000000000000000000000000000000",
572
 
573
    INIT_30 => x"0000000000000000000000000000000000000000000000000000000000000000",
574
 
575
    INIT_31 => x"0000000000000000000000000000000000000000000000000000000000000000",
576
 
577
    INIT_32 => x"0000000000000000000000000000000000000000000000000000000000000000",
578
 
579
    INIT_33 => x"0000000000000000000000000000000000000000000000000000000000000000",
580
 
581
    INIT_34 => x"0000000000000000000000000000000000000000000000000000000000000000",
582
 
583
    INIT_35 => x"0000000000000000000000000000000000000000000000000000000000000000",
584
 
585
    INIT_36 => x"0000000000000000000000000000000000000000000000000000000000000000",
586
 
587
    INIT_37 => x"0000000000000000000000000000000000000000000000000000000000000000",
588
 
589
    INIT_38 => x"0000000000000000000000000000000000000000000000000000000000000000",
590
 
591
    INIT_39 => x"0000000000000000000000000000000000000000000000000000000000000000",
592
 
593
    INIT_3a => x"0000000000000000000000000000000000000000000000000000000000000000",
594
 
595
    INIT_3b => x"0000000000000000000000000000000000000000000000000000000000000000",
596
 
597
    INIT_3c => x"0000000000000000000000000000000000000000000000000000000000000000",
598
 
599
    INIT_3d => x"0000000000000000000000000000000000000000000000000000000000000000",
600
 
601
    INIT_3e => x"0000000000000000000000000000000000000000000000000000000000000000",
602
 
603
    INIT_3f => x"0000000000000000000000000000000000000000000000000000000000000000"
604
 
605
   )
606
 
607
 
608
    port map (
609
 
610
          do   => rdata_2,
611
 
612
          dop0 => dp(2),
613
 
614
          addr => addr(10 downto 0),
615
 
616
          clk  => clk,
617
 
618
     di   => wdata,
619
 
620
          dip0 => dp(2),
621
 
622
          en   => ce(2),
623
 
624
          ssr  => rst,
625
 
626
          we   => we
627
 
628
        );
629
 
630
 
631
 
632
  ROM3 : RAMB16_S9
633
    generic map (
634
 
635
    INIT_00 => x"0000000000000000000000000000000000000000000000000000000000000000",
636
 
637
    INIT_01 => x"0000000000000000000000000000000000000000000000000000000000000000",
638
 
639
    INIT_02 => x"0000000000000000000000000000000000000000000000000000000000000000",
640
 
641
    INIT_03 => x"0000000000000000000000000000000000000000000000000000000000000000",
642
 
643
    INIT_04 => x"0000000000000000000000000000000000000000000000000000000000000000",
644
 
645
    INIT_05 => x"0000000000000000000000000000000000000000000000000000000000000000",
646
 
647
    INIT_06 => x"0000000000000000000000000000000000000000000000000000000000000000",
648
 
649
    INIT_07 => x"0000000000000000000000000000000000000000000000000000000000000000",
650
 
651
    INIT_08 => x"0000000000000000000000000000000000000000000000000000000000000000",
652
 
653
    INIT_09 => x"0000000000000000000000000000000000000000000000000000000000000000",
654
 
655
    INIT_0a => x"0000000000000000000000000000000000000000000000000000000000000000",
656
 
657
    INIT_0b => x"0000000000000000000000000000000000000000000000000000000000000000",
658
 
659
    INIT_0c => x"0000000000000000000000000000000000000000000000000000000000000000",
660
 
661
    INIT_0d => x"0000000000000000000000000000000000000000000000000000000000000000",
662
 
663
    INIT_0e => x"0000000000000000000000000000000000000000000000000000000000000000",
664
 
665
    INIT_0f => x"0000000000000000000000000000000000000000000000000000000000000000",
666
 
667
    INIT_10 => x"0000000000000000000000000000000000000000000000000000000000000000",
668
 
669
    INIT_11 => x"0000000000000000000000000000000000000000000000000000000000000000",
670
 
671
    INIT_12 => x"0000000000000000000000000000000000000000000000000000000000000000",
672
 
673
    INIT_13 => x"0000000000000000000000000000000000000000000000000000000000000000",
674
 
675
    INIT_14 => x"0000000000000000000000000000000000000000000000000000000000000000",
676
 
677
    INIT_15 => x"0000000000000000000000000000000000000000000000000000000000000000",
678
 
679
    INIT_16 => x"0000000000000000000000000000000000000000000000000000000000000000",
680
 
681
    INIT_17 => x"0000000000000000000000000000000000000000000000000000000000000000",
682
 
683
    INIT_18 => x"0000000000000000000000000000000000000000000000000000000000000000",
684
 
685
    INIT_19 => x"0000000000000000000000000000000000000000000000000000000000000000",
686
 
687
    INIT_1a => x"0000000000000000000000000000000000000000000000000000000000000000",
688
 
689
    INIT_1b => x"0000000000000000000000000000000000000000000000000000000000000000",
690
 
691
    INIT_1c => x"0000000000000000000000000000000000000000000000000000000000000000",
692
 
693
    INIT_1d => x"0000000000000000000000000000000000000000000000000000000000000000",
694
 
695
    INIT_1e => x"0000000000000000000000000000000000000000000000000000000000000000",
696
 
697
    INIT_1f => x"0000000000000000000000000000000000000000000000000000000000000000",
698
 
699
    INIT_20 => x"0000000000000000000000000000000000000000000000000000000000000000",
700
 
701
    INIT_21 => x"0000000000000000000000000000000000000000000000000000000000000000",
702
 
703
    INIT_22 => x"0000000000000000000000000000000000000000000000000000000000000000",
704
 
705
    INIT_23 => x"0000000000000000000000000000000000000000000000000000000000000000",
706
 
707
    INIT_24 => x"0000000000000000000000000000000000000000000000000000000000000000",
708
 
709
    INIT_25 => x"0000000000000000000000000000000000000000000000000000000000000000",
710
 
711
    INIT_26 => x"0000000000000000000000000000000000000000000000000000000000000000",
712
 
713
    INIT_27 => x"0000000000000000000000000000000000000000000000000000000000000000",
714
 
715
    INIT_28 => x"0000000000000000000000000000000000000000000000000000000000000000",
716
 
717
    INIT_29 => x"0000000000000000000000000000000000000000000000000000000000000000",
718
 
719
    INIT_2a => x"0000000000000000000000000000000000000000000000000000000000000000",
720
 
721
    INIT_2b => x"0000000000000000000000000000000000000000000000000000000000000000",
722
 
723
    INIT_2c => x"0000000000000000000000000000000000000000000000000000000000000000",
724
 
725
    INIT_2d => x"0000000000000000000000000000000000000000000000000000000000000000",
726
 
727
    INIT_2e => x"0000000000000000000000000000000000000000000000000000000000000000",
728
 
729
    INIT_2f => x"0000000000000000000000000000000000000000000000000000000000000000",
730
 
731
    INIT_30 => x"0000000000000000000000000000000000000000000000000000000000000000",
732
 
733
    INIT_31 => x"0000000000000000000000000000000000000000000000000000000000000000",
734
 
735
    INIT_32 => x"0000000000000000000000000000000000000000000000000000000000000000",
736
 
737
    INIT_33 => x"0000000000000000000000000000000000000000000000000000000000000000",
738
 
739
    INIT_34 => x"0000000000000000000000000000000000000000000000000000000000000000",
740
 
741
    INIT_35 => x"0000000000000000000000000000000000000000000000000000000000000000",
742
 
743
    INIT_36 => x"0000000000000000000000000000000000000000000000000000000000000000",
744
 
745
    INIT_37 => x"0000000000000000000000000000000000000000000000000000000000000000",
746
 
747
    INIT_38 => x"0000000000000000000000000000000000000000000000000000000000000000",
748
 
749
    INIT_39 => x"0000000000000000000000000000000000000000000000000000000000000000",
750
 
751
    INIT_3a => x"0000000000000000000000000000000000000000000000000000000000000000",
752
 
753
    INIT_3b => x"0000000000000000000000000000000000000000000000000000000000000000",
754
 
755
    INIT_3c => x"0000000000000000000000000000000000000000000000000000000000000000",
756
 
757
    INIT_3d => x"0000000000000000000000000000000000000000000000000000000000000000",
758
 
759
    INIT_3e => x"0000000000000000000000000000000000000000000000000000000000000000",
760
 
761
    INIT_3f => x"34E01AE616E612E60EE60AE61EE606E600000000000000000000000000000000"
762
 
763
   )
764
 
765
 
766
    port map (
767
 
768
          do     => rdata_3,
769
 
770
          dop(0) => dp(3),
771
 
772
          addr   => addr(10 downto 0),
773
 
774
          clk    => clk,
775
 
776
          di     => wdata,
777
 
778
          dip(0) => dp(3),
779
 
780
          en     => ce(3),
781
 
782
          ssr    => rst,
783
 
784
          we     => we
785
 
786
        );
787
 
788
 
789
 
790
my_rom_8k : process ( cs, rw, addr,
791
 
792
                      rdata_0, rdata_1, rdata_2, rdata_3 )
793
 
794
begin
795
 
796
         we <= not rw;
797
 
798
 
799
case addr(12 downto 11) is
800
 
801
         when "00" =>
802
 
803
             rdata <= rdata_0;
804
 
805
         when "01" =>
806
 
807
             rdata <= rdata_1;
808
 
809
         when "10" =>
810
 
811
             rdata <= rdata_2;
812
 
813
         when "11" =>
814
 
815
             rdata <= rdata_3;
816
 
817
         when others =>
818
 
819
             null;
820
 
821
        end case;
822
 
823
 
824
    ce(0)  <= cs and not( addr(12) ) and not( addr(11) );
825
 
826
    ce(1)  <= cs and not( addr(12) ) and      addr(11)  ;
827
 
828
    ce(2)  <= cs and      addr(12)   and not( addr(11) );
829
 
830
    ce(3)  <= cs and      addr(12)   and      addr(11)  ;
831
 
832
 
833
end process;
834
 
835
 
836
 
837
end architecture rtl;
838
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.