OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Spartan2/] [keymap_rom_slice.vhd] - Blame information for rev 154

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 99 davidgb
--===========================================================================--
2
--                                                                           --
3
--               Synthesizable PS/2 Keyboard Key map ROM                     --
4
--                                                                           --
5
--===========================================================================--
6 19 dilbert57
--
7 99 davidgb
--  File name      : keymap_rom_slice.vhd
8
--
9
--  Entity name    : keymap_rom 
10 19 dilbert57
--
11 99 davidgb
--  Purpose        : PS/2 key code look up table for PS/2 Keyboard
12
--                   Converts 7 bit key code to ASCII
13
--                   Address bit 8      = Shift
14
--                   Address bit 7      = CAPS Lock
15
--                   Address bits 6 - 0 = Key code
16
--                   Data bits 6 - 0    = ASCII code
17
--                   Using constant array look up.
18
--
19
--  Dependencies   : ieee.std_logic_1164
20
--                   ieee.std_logic_arith
21
--                   ieee.std_logic_unsigned
22
--
23
--  Uses           : None
24 19 dilbert57
--
25 99 davidgb
--  Author         : John E. Kent
26
--
27
--  Email          : dilbert57@opencores.org      
28
--
29
--  Web            : http://opencores.org/project,system09
30
--
31
--  Copyright (C) 2004 - 2010 John Kent
32
--
33
--  This program is free software: you can redistribute it and/or modify
34
--  it under the terms of the GNU General Public License as published by
35
--  the Free Software Foundation, either version 3 of the License, or
36
--  (at your option) any later version.
37
--
38
--  This program is distributed in the hope that it will be useful,
39
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
40
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
41
--  GNU General Public License for more details.
42
--
43
--  You should have received a copy of the GNU General Public License
44
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
45
--
46
--===========================================================================--
47
--                                                                           --
48
--                              Revision  History                            --
49
--                                                                           --
50
--===========================================================================--
51
--
52
-- Version Date        Author     Changes
53
--
54
-- 0.1     2004-10-18  John Kent  Initial version
55
-- 0.2     2007-01-28  John Kent  Made entity compatible with block RAM versions.
56
-- 0.3     2007-02-01  John Kent  Initialized with bit_vector
57
-- 0.4     2010-06-17  John Kent  Revised Header, renamed data pins, added process
58
--
59
 
60 19 dilbert57
library IEEE;
61 99 davidgb
  use ieee.std_logic_1164.all;
62
  use ieee.std_logic_arith.all;
63
  use ieee.std_logic_unsigned.all;
64 19 dilbert57
 
65
entity keymap_rom is
66
    Port (
67 99 davidgb
       clk      : in  std_logic;
68
       rst      : in  std_logic;
69
       cs       : in  std_logic;
70
       rw       : in  std_logic;
71
       addr     : in  std_logic_vector (8 downto 0);
72
       data_in  : in  std_logic_vector (7 downto 0);
73
       data_out : out std_logic_vector (7 downto 0)
74 19 dilbert57
    );
75
end keymap_rom;
76
 
77
architecture rtl of keymap_rom is
78 99 davidgb
 
79 19 dilbert57
  type rom_array is array(0 to 15) of std_logic_vector (255 downto 0);
80
 
81
  constant rom_data : rom_array :=
82
  (
83
    x"00327761737a0000003171000000000000600900000000000000000000000000",        -- 1F - 00
84
    x"003837756a6d00000036796768626e0000357274667620000033346564786300",        -- 3F - 20
85
    x"00005c005d0d000000003d5b00270000002d703b6c2f2e000039306f696b2c00",        -- 5F - 40
86
    x"0000000000000000001b000000007f0000000000000000000008000000000000",        -- 7F - 60
87
 
88
    x"00325741535a00000031510000000000007e0900000000000000000000000000",        -- 9F - 80
89
    x"003837554a4d00000036594748424e0000355254465620000033344544584300",        -- BF - A0
90
    x"00005c005d0d000000003d5b00270000002d503b4c2f2e000039304f494b2c00",        -- DF - C0
91
    x"0000000000000000001b000000007f0000000000000000000008000000000000",        -- FF - E0
92
 
93
    x"00405741535a00000021510000000000007e0900000000000000000000000000",        -- 1F - 00
94
    x"002a26554a4d0000005e594748424e0000255254465620000023244544584300",        -- 3F - 20
95
    x"00007c007d0d000000002b7b00220000005f503a4c3f3e000028294f494b3c00",        -- 5F - 40
96
    x"0000000000000000001b000000007f0000000000000000000008000000000000",        -- 7F - 60
97
 
98
    x"00407761737a0000002171000000000000600900000000000000000000000000",        -- 9F - 80
99
    x"002a26756a6d0000005e796768626e0000257274667620000023246564786300",        -- BF - A0
100
    x"00007c007d0d000000002b7b00220000005f703a6c3f3e000028296f696b3c00",        -- DF - C0
101
    x"0000000000000000001b000000007f0000000000000000000008000000000000"    -- FF - E0
102
  );
103 99 davidgb
 
104
  signal rom_out : std_logic_vector(255 downto 0);
105
 
106 19 dilbert57
begin
107 99 davidgb
 
108
process( addr, rom_out )
109
begin
110
   rom_out  <= rom_data(conv_integer(addr(8 downto 5)));
111
        data_out <= rom_out( conv_integer(addr(4 downto 0))*8+7 downto conv_integer(addr(4 downto 0))*8);
112
end process;
113 19 dilbert57
 
114
end architecture rtl;
115
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.