OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Spartan3/] [sys09s3s.vhd] - Blame information for rev 199

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 126 dilbert57
--===========================================================================--
2
--                                                                           --
3
--  Synthesizable 4K Sys09_bug ROM using Xilinx RAMB16_S9 Block RAM          --
4
--                                                                           --
5
--===========================================================================--
6
--
7
--  File name      : sys09s3s_b16.vhd
8
--
9
--  Entity name    : mon_rom
10
--
11
--  Purpose        : Implements a 4KByte Sys09_bug ROM 
12
--                   for the 200K gate Digilent spartan 3 starter board
13
--                   using two Xilinx RAMB16_S9 Block RAM
14
--
15
--  Dependencies   : ieee.std_logic_1164
16
--                   ieee.std_logic_arith
17
--                   unisim.vcomponents
18
--
19
--  Uses           : SYS09BUG_F000
20
--                   SYS09BUG_F800
21
--
22
--  Author         : John E. Kent
23
--
24
--  Email          : dilbert57@opencores.org      
25
--
26
--  Web            : http://opencores.org/project,system09
27
--
28
--  Description    : Block RAM instatiation
29
--
30
--  Copyright (C) 2006 - 2010 John Kent
31
--
32
--  This program is free software: you can redistribute it and/or modify
33
--  it under the terms of the GNU General Public License as published by
34
--  the Free Software Foundation, either version 3 of the License, or
35
--  (at your option) any later version.
36
--
37
--  This program is distributed in the hope that it will be useful,
38
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
39
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
40
--  GNU General Public License for more details.
41
--
42
--  You should have received a copy of the GNU General Public License
43
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
44
--
45
--===========================================================================--
46
--                                                                           --
47
--                              Revision  History                            --
48
--                                                                           --
49
--===========================================================================--
50
--
51
-- Version Date        Author     Changes
52
--
53
-- 1.0     2006-11-21  John Kent  Initial version
54
-- 1.1     2006-12-22  John Kent  Made into 4K ROM/RAM.
55
-- 1.2     2010-06-17  John Kent  Added GPL and header
56
--                                Renamed data input and output signals
57
-- 
58
library IEEE;
59
use IEEE.STD_LOGIC_1164.ALL;
60
use IEEE.STD_LOGIC_ARITH.ALL;
61
library unisim;
62
        use unisim.vcomponents.all;
63
 
64
entity mon_rom is
65
    Port (
66
       clk      : in  std_logic;
67
                 rst      : in  std_logic;
68
                 cs       : in  std_logic;
69
       addr     : in  std_logic_vector (11 downto 0);
70
                 rw       : in  std_logic;
71
       data_in  : in  std_logic_vector (7 downto 0);
72
       data_out : out std_logic_vector (7 downto 0)
73
    );
74
end mon_rom;
75
 
76
architecture rtl of mon_rom is
77
 
78
  signal we        : std_logic;
79
  signal cs0       : std_logic;
80
  signal cs1       : std_logic;
81
  signal dp0       : std_logic;
82
  signal dp1       : std_logic;
83
  signal data_out0 : std_logic_vector(7 downto 0);
84
  signal data_out1 : std_logic_vector(7 downto 0);
85
 
86
component SYS09BUG_F000
87
    Port (
88
       clk      : in  std_logic;
89
       rst      : in  std_logic;
90
       cs       : in  std_logic;
91
       addr     : in  std_logic_vector (10 downto 0);
92
       rw       : in  std_logic;
93
       data_in  : in  std_logic_vector (7 downto 0);
94
       data_out : out std_logic_vector (7 downto 0)
95
    );
96
end component;
97
 
98
component SYS09BUG_F800
99
    Port (
100
       clk      : in  std_logic;
101
       rst      : in  std_logic;
102
       cs       : in  std_logic;
103
       addr     : in  std_logic_vector (10 downto 0);
104
       rw       : in  std_logic;
105
       data_in  : in  std_logic_vector (7 downto 0);
106
       data_out : out std_logic_vector (7 downto 0)
107
    );
108
end component;
109
 
110
begin
111
 
112
   addr_f000 : SYS09BUG_F000 port map (
113
       clk      => clk,
114
       rst      => rst,
115
       cs       => cs0,
116
       addr     => addr(10 downto 0),
117
       rw       => rw,
118
       data_in  => data_in,
119
       data_out => data_out0
120
    );
121
 
122
   addr_f800 : SYS09BUG_F800 port map (
123
       clk      => clk,
124
       rst      => rst,
125
       cs       => cs1,
126
       addr     => addr(10 downto 0),
127
       rw       => rw,
128
       data_in  => data_in,
129
       data_out => data_out1
130
    );
131
 
132
my_mon : process ( rw, addr, cs, data_out0, data_out1 )
133
begin
134
         we    <= not rw;
135
    cs0   <= '0';
136
    cs1   <= '0';
137
         case addr(11) is
138
         when '0' =>
139
           cs0   <= cs;
140
                data_out <= data_out0;
141
    when '1' =>
142
                cs1   <= cs;
143
                data_out <= data_out1;
144
    when others =>
145
      null;
146
    end case;
147
 
148
end process;
149
 
150
end architecture rtl;
151
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.