OpenCores
URL https://opencores.org/ocsvn/System11/System11/trunk

Subversion Repositories System11

[/] [System11/] [trunk/] [rtl/] [vhdl/] [swtbug11.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dilbert57
--===========================================================================--
2
--
3
--  S Y N T H E Z I A B L E    SWTBUG11 monitor ROM
4
--
5
--  www.OpenCores.Org - September 2003
6
--  This core adheres to the GNU public license  
7
--
8
-- File name      : swtbug11.vhd
9
--
10
-- Entity name    : boot_rom
11
--
12
-- Purpose        : SWTBUG Monitor modified for the 68HC11
13
--                  ROM sits at $E000
14
--                  Assumes an 6850 ACIA sits at $8004
15
--                  Assumes RAM at $A000 - $BFFF for Flex 2
16
--                  1024 byte x 8 bit
17
--                  Modified stack to allow for Y register
18
--
19
-- Dependencies   : ieee.std_logic_1164
20
--                  ieee.std_logic_arith
21
--                  ieee.std_logic_unsigned
22
--
23
-- Author         : John Kent - dilbert57@opencores.org
24
--
25
--===========================================================================--
26
-------------------------------------------------------------------------------
27
-- Revision list
28
-- Version   Author         Date                Changes
29
-- 1.0       John Kent      6 September 2003    Initial release to open corse
30
--
31
 
32
library ieee;
33
use ieee.std_logic_1164.all;
34
use ieee.std_logic_arith.all;
35
use ieee.std_logic_unsigned.all;
36
 
37
library work;
38
-- use work.memory.all;
39
 
40
entity boot_rom is
41
  port (
42
    addr   : in   std_logic_vector(9 downto 0);
43
    data   : out  std_logic_vector(7 downto 0)
44
  );
45
end entity boot_rom;
46
 
47
architecture basic of boot_rom is
48
  constant width   : integer := 8;
49
  constant memsize : integer := 1024;
50
 
51
  type rom_array is array(0 to memsize-1) of std_logic_vector(width-1 downto 0);
52
 
53
  constant rom_data : rom_array :=
54
(
55
"11111110",
56
"10100000",
57
"00000000",
58
"01101110",
59
"00000000",
60
"10001101",
61
"01000000",
62
"01101110",
63
"00000000",
64
"00010000",
65
"00010110",
66
"00000100",
67
"10111101",
68
"11100011",
69
"00110100",
70
"10001101",
71
"01100111",
72
"10000001",
73
"01010011",
74
"00100110",
75
"11111010",
76
"10001101",
77
"01100001",
78
"10000001",
79
"00111001",
80
"00100111",
81
"00101001",
82
"10000001",
83
"00110001",
84
"00100110",
85
"11110000",
86
"01111111",
87
"10100000",
88
"00001111",
89
"10001101",
90
"00110001",
91
"10000000",
92
"00000010",
93
"10110111",
94
"10100000",
95
"01000111",
96
"10001101",
97
"00011100",
98
"10001101",
99
"00101000",
100
"01111010",
101
"10100000",
102
"01000111",
103
"00100111",
104
"00001001",
105
"10100111",
106
"00000000",
107
"10100001",
108
"00000000",
109
"00100110",
110
"00001000",
111
"00001000",
112
"00100000",
113
"11110000",
114
"01111100",
115
"10100000",
116
"00001111",
117
"00100111",
118
"11001111",
119
"10000110",
120
"00111111",
121
"10001101",
122
"00110001",
123
"01111110",
124
"11100010",
125
"11010100",
126
"10001101",
127
"00001100",
128
"10110111",
129
"10100000",
130
"00001101",
131
"10001101",
132
"00000111",
133
"10110111",
134
"10100000",
135
"00001110",
136
"11111110",
137
"10100000",
138
"00001101",
139
"00111001",
140
"10001101",
141
"01010011",
142
"01001000",
143
"01001000",
144
"01001000",
145
"01001000",
146
"00010110",
147
"10001101",
148
"01001100",
149
"00011011",
150
"00010110",
151
"11111011",
152
"10100000",
153
"00001111",
154
"11110111",
155
"10100000",
156
"00001111",
157
"00111001",
158
"01000100",
159
"01000100",
160
"01000100",
161
"01000100",
162
"10000100",
163
"00001111",
164
"10001011",
165
"00110000",
166
"10000001",
167
"00111001",
168
"00100011",
169
"00000010",
170
"10001011",
171
"00000111",
172
"01111110",
173
"11100001",
174
"11010001",
175
"01111110",
176
"11100001",
177
"10101100",
178
"10001101",
179
"11111000",
180
"00001000",
181
"10100110",
182
"00000000",
183
"10000001",
184
"00000100",
185
"00100110",
186
"11110111",
187
"00111001",
188
"01111110",
189
"11100001",
190
"01001010",
191
"10001101",
192
"10111101",
193
"11001110",
194
"11100001",
195
"10011101",
196
"10001101",
197
"11101111",
198
"11001110",
199
"10100000",
200
"00001101",
201
"10001101",
202
"00110100",
203
"11111110",
204
"10100000",
205
"00001101",
206
"10001101",
207
"00110001",
208
"10001101",
209
"00110001",
210
"10001101",
211
"11011011",
212
"10000001",
213
"00100000",
214
"00100111",
215
"11111010",
216
"10000001",
217
"00001101",
218
"00100111",
219
"11100000",
220
"10000001",
221
"01011110",
222
"00100000",
223
"00101100",
224
"00000001",
225
"10001101",
226
"11001100",
227
"10000000",
228
"00110000",
229
"00101011",
230
"01001100",
231
"10000001",
232
"00001001",
233
"00101111",
234
"00001010",
235
"10000001",
236
"00010001",
237
"00101011",
238
"01000100",
239
"10000001",
240
"00010110",
241
"00101110",
242
"01000000",
243
"10000000",
244
"00000111",
245
"00111001",
246
"10100110",
247
"00000000",
248
"10001101",
249
"10100100",
250
"10100110",
251
"00000000",
252
"00001000",
253
"00100000",
254
"10100011",
255
"10001101",
256
"11110101",
257
"10001101",
258
"11110011",
259
"10000110",
260
"00100000",
261
"00100000",
262
"10100101",
263
"10001110",
264
"10100000",
265
"01000000",
266
"00100000",
267
"00101100",
268
"00100110",
269
"00000111",
270
"00001001",
271
"00001001",
272
"11111111",
273
"10100000",
274
"00001101",
275
"00100000",
276
"10101100",
277
"11111111",
278
"10100000",
279
"00001101",
280
"00100000",
281
"00000010",
282
"00100000",
283
"01101101",
284
"10000001",
285
"00110000",
286
"00100101",
287
"10100001",
288
"10000001",
289
"01000110",
290
"00100010",
291
"10011101",
292
"10001101",
293
"10111101",
294
"10111101",
295
"11100000",
296
"01010111",
297
"00001001",
298
"10100111",
299
"00000000",
300
"10100001",
301
"00000000",
302
"00100111",
303
"10010001",
304
"01111110",
305
"11100000",
306
"01000000",
307
"10111110",
308
"10100000",
309
"00001000",
310
"00100000",
311
"01001001",
312
"10111111",
313
"10100000",
314
"00001000",
315
"10000110",
316
"11111111",
317
"10111101",
318
"11100011",
319
"00001000",
320
"11001110",
321
"10000000",
322
"00000100",
323
"10111101",
324
"11100010",
325
"10000100",
326
"10100110",
327
"00000000",
328
"10100001",
329
"00000010",
330
"00100000",
331
"00000010",
332
"00100000",
333
"00011001",
334
"00100110",
335
"00111001",
336
"10000110",
337
"00000011",
338
"10100111",
339
"00000000",
340
"10000110",
341
"00010001",
342
"10100111",
343
"00000000",
344
"00100000",
345
"00101111",
346
"00000001",
347
"10111111",
348
"10100000",
349
"00001000",
350
"00110000",
351
"01101101",
352
"00000110",
353
"00100110",
354
"00000010",
355
"01101010",
356
"00000101",
357
"01101010",
358
"00000110",
359
"11001110",
360
"11100001",
361
"10011101",
362
"10111101",
363
"11100000",
364
"01111110",
365
"11111110",
366
"10100000",
367
"00001000",
368
"00001000",
369
"10001101",
370
"10001110",
371
"10001101",
372
"10001100",
373
"10001101",
374
"10001010",
375
"01111110",
376
"11100011",
377
"01011111",
378
"00000001",
379
"11001110",
380
"10100000",
381
"00001000",
382
"10111101",
383
"11100000",
384
"11001000",
385
"11111110",
386
"10100000",
387
"00010010",
388
"10001100",
389
"11100001",
390
"00100011",
391
"00100111",
392
"00011001",
393
"10001110",
394
"10100000",
395
"01000000",
396
"11001110",
397
"10000000",
398
"00000100",
399
"11111111",
400
"10100000",
401
"00001010",
402
"01111111",
403
"10100000",
404
"00001100",
405
"10001101",
406
"01110011",
407
"00100111",
408
"00000011",
409
"10111101",
410
"11100010",
411
"01111101",
412
"10111101",
413
"11100011",
414
"01010011",
415
"10111101",
416
"11100011",
417
"01000111",
418
"11001110",
419
"11100001",
420
"10011100",
421
"10111101",
422
"11100000",
423
"01111110",
424
"10001101",
425
"00111001",
426
"11001110",
427
"11100011",
428
"11010001",
429
"10100001",
430
"00000000",
431
"00100110",
432
"00000111",
433
"10111101",
434
"11100000",
435
"11001100",
436
"11101110",
437
"00000001",
438
"01101110",
439
"00000000",
440
"00001000",
441
"00001000",
442
"00001000",
443
"10001100",
444
"11100011",
445
"11111000",
446
"00100110",
447
"11101101",
448
"00100000",
449
"10111111",
450
"11111110",
451
"10100000",
452
"00010010",
453
"01101110",
454
"00000000",
455
"01010011",
456
"00111001",
457
"00000100",
458
"00001101",
459
"00001010",
460
"00010101",
461
"00000000",
462
"00000000",
463
"00000000",
464
"01010011",
465
"00110001",
466
"00000100",
467
"00010011",
468
"00001101",
469
"00001010",
470
"00010101",
471
"00000000",
472
"00000000",
473
"00000000",
474
"00100100",
475
"00000100",
476
"00100000",
477
"01001100",
478
"11111110",
479
"10100000",
480
"00000110",
481
"01101110",
482
"00000000",
483
"00100000",
484
"01000000",
485
"10111101",
486
"11100000",
487
"01000111",
488
"11111111",
489
"10100000",
490
"00000100",
491
"10111101",
492
"11100000",
493
"01000111",
494
"10111101",
495
"11100000",
496
"01010101",
497
"00010110",
498
"10100110",
499
"00000000",
500
"11111111",
501
"10100000",
502
"00001101",
503
"00010001",
504
"00100111",
505
"00000010",
506
"00100000",
507
"00100001",
508
"11001110",
509
"11100001",
510
"10011101",
511
"10111101",
512
"11100000",
513
"01111110",
514
"11001110",
515
"10100000",
516
"00001101",
517
"00100000",
518
"00010000",
519
"00111011",
520
"00100000",
521
"00111010",
522
"11111111",
523
"10100000",
524
"00010000",
525
"11111110",
526
"10100000",
527
"00001010",
528
"00110111",
529
"11100110",
530
"00000001",
531
"11100001",
532
"00000011",
533
"00110011",
534
"00111001",
535
"10111101",
536
"11100000",
537
"11001000",
538
"11111110",
539
"10100000",
540
"00001101",
541
"10111100",
542
"10100000",
543
"00000100",
544
"00100111",
545
"10011110",
546
"00001000",
547
"00100000",
548
"11001101",
549
"10001101",
550
"00000110",
551
"10000100",
552
"01111111",
553
"00111001",
554
"00110001",
555
"00110001",
556
"00110001",
557
"00110111",
558
"10001101",
559
"11011010",
560
"00100110",
561
"00101000",
562
"10000110",
563
"00010101",
564
"10100111",
565
"00000000",
566
"10100110",
567
"00000000",
568
"01000111",
569
"00100100",
570
"11111011",
571
"10100110",
572
"00000001",
573
"11110110",
574
"10100000",
575
"00001100",
576
"00100111",
577
"00000111",
578
"00100000",
579
"00010001",
580
"00110111",
581
"10001101",
582
"11000011",
583
"00100110",
584
"00101110",
585
"11000110",
586
"00010001",
587
"11100111",
588
"00000000",
589
"11100110",
590
"00000000",
591
"01010111",
592
"01010111",
593
"00100100",
594
"11111010",
595
"10100111",
596
"00000001",
597
"00110011",
598
"11111110",
599
"10100000",
600
"00010000",
601
"00111001",
602
"10100110",
603
"00000000",
604
"00101011",
605
"11111100",
606
"10001101",
607
"00111010",
608
"11000110",
609
"00000100",
610
"11100111",
611
"00000010",
612
"01011000",
613
"10001101",
614
"00101010",
615
"00001101",
616
"01101001",
617
"00000000",
618
"01000110",
619
"01011010",
620
"00100110",
621
"11110111",
622
"10001101",
623
"00100001",
624
"11110110",
625
"10100000",
626
"00001100",
627
"00100111",
628
"00010011",
629
"00100000",
630
"11011110",
631
"10001101",
632
"00100011",
633
"11000110",
634
"00001010",
635
"01101010",
636
"00000000",
637
"10001101",
638
"00010110",
639
"10001101",
640
"00010000",
641
"10100111",
642
"00000000",
643
"00001101",
644
"01000110",
645
"01011010",
646
"00100110",
647
"11110111",
648
"11100110",
649
"00000010",
650
"01011000",
651
"00101010",
652
"11001000",
653
"10001101",
654
"00000010",
655
"00100000",
656
"11000100",
657
"01101101",
658
"00000010",
659
"00101010",
660
"11111100",
661
"01101100",
662
"00000010",
663
"01101010",
664
"00000010",
665
"00111001",
666
"01101111",
667
"00000010",
668
"10001101",
669
"11110111",
670
"00100000",
671
"11110001",
672
"10001101",
673
"10000011",
674
"00010110",
675
"01111111",
676
"10100000",
677
"00001011",
678
"11111110",
679
"10100000",
680
"00001010",
681
"10001101",
682
"00010000",
683
"10001101",
684
"00000111",
685
"11001110",
686
"11100011",
687
"11101111",
688
"00010111",
689
"01111110",
690
"11100001",
691
"01110110",
692
"10000110",
693
"00110100",
694
"10100111",
695
"00000011",
696
"10100111",
697
"00000010",
698
"00111001",
699
"01101100",
700
"00000000",
701
"10000110",
702
"00000111",
703
"10100111",
704
"00000001",
705
"01101100",
706
"00000000",
707
"10100111",
708
"00000010",
709
"00111001",
710
"01111111",
711
"10000000",
712
"00010100",
713
"10001101",
714
"00101110",
715
"11000110",
716
"00001011",
717
"10001101",
718
"00100101",
719
"11100110",
720
"00000100",
721
"11000101",
722
"00000001",
723
"00100110",
724
"11111010",
725
"01101111",
726
"00000110",
727
"10001101",
728
"00011101",
729
"11000110",
730
"10011100",
731
"10001101",
732
"00010111",
733
"11001110",
734
"00100100",
735
"00000000",
736
"11000101",
737
"00000010",
738
"00100111",
739
"00000110",
740
"10110110",
741
"10000000",
742
"00011011",
743
"10100111",
744
"00000000",
745
"00001000",
746
"11110110",
747
"10000000",
748
"00011000",
749
"11000101",
750
"00000001",
751
"00100110",
752
"11101111",
753
"01111110",
754
"00100100",
755
"00000000",
756
"11100111",
757
"00000100",
758
"10001101",
759
"00000000",
760
"00111001",
761
"11001110",
762
"11111111",
763
"11111111",
764
"00001001",
765
"10001100",
766
"10000000",
767
"00010100",
768
"00100110",
769
"11111010",
770
"00111001",
771
"11001110",
772
"11100000",
773
"00001001",
774
"10111101",
775
"11100000",
776
"01111110",
777
"10001101",
778
"11110001",
779
"10111101",
780
"11100011",
781
"01000111",
782
"00100000",
783
"01011000",
784
"11001110",
785
"11100001",
786
"00100011",
787
"10111100",
788
"10100000",
789
"00010010",
790
"00100111",
791
"00011010",
792
"00001000",
793
"10001101",
794
"00110010",
795
"10111101",
796
"11100000",
797
"01000111",
798
"11111111",
799
"10100000",
800
"00010100",
801
"10100110",
802
"00000000",
803
"10110111",
804
"10100000",
805
"00010110",
806
"10000110",
807
"00111111",
808
"10100111",
809
"00000000",
810
"11001110",
811
"11100001",
812
"00100011",
813
"10001101",
814
"00011110",
815
"01111110",
816
"11100001",
817
"01101011",
818
"11111110",
819
"10100000",
820
"00010100",
821
"10110110",
822
"10100000",
823
"00010110",
824
"10100111",
825
"00000000",
826
"11001110",
827
"11100001",
828
"00100100",
829
"00100000",
830
"11011010",
831
"10110111",
832
"10100000",
833
"01000001",
834
"11111110",
835
"10100000",
836
"00010010",
837
"10001100",
838
"11100001",
839
"00100011",
840
"00100111",
841
"00000110",
842
"11001110",
843
"11100001",
844
"00100100",
845
"11111111",
846
"10100000",
847
"00010010",
848
"00111001",
849
"10001101",
850
"01011010",
851
"00100000",
852
"00001111",
853
"11001110",
854
"10100000",
855
"01001001",
856
"11111111",
857
"10100000",
858
"00000100",
859
"00001001",
860
"10001101",
861
"01010010",
862
"11001110",
863
"11100001",
864
"10010000",
865
"10111101",
866
"11100000",
867
"01111110",
868
"10001101",
869
"00100100",
870
"10001101",
871
"10010001",
872
"01111110",
873
"11100001",
874
"01010010",
875
"01110011",
876
"10100000",
877
"00001100",
878
"10000110",
879
"00010001",
880
"11000110",
881
"00100000",
882
"10001101",
883
"00011010",
884
"10111101",
885
"11100001",
886
"11011001",
887
"00100111",
888
"00000100",
889
"10000110",
890
"00111100",
891
"10100111",
892
"00000011",
893
"00111001",
894
"10000110",
895
"00010011",
896
"11000110",
897
"00010000",
898
"00100000",
899
"00001010",
900
"10000110",
901
"00010010",
902
"11000110",
903
"00000100",
904
"00100000",
905
"00000100",
906
"10000110",
907
"00010100",
908
"11000110",
909
"00001000",
910
"10111101",
911
"11100000",
912
"01110101",
913
"10111101",
914
"11100001",
915
"11010110",
916
"00100000",
917
"00010110",
918
"10111101",
919
"11100000",
920
"11001000",
921
"10111101",
922
"11100000",
923
"11001000",
924
"10111101",
925
"11100000",
926
"11001000",
927
"01111110",
928
"11100001",
929
"01000100",
930
"11100111",
931
"00000000",
932
"10001101",
933
"00000010",
934
"10000110",
935
"00000110",
936
"10100111",
937
"00000001",
938
"11100111",
939
"00000000",
940
"00111001",
941
"11111110",
942
"10100000",
943
"00000010",
944
"11111111",
945
"10100000",
946
"01000100",
947
"10001101",
948
"11001111",
949
"10110110",
950
"10100000",
951
"00000101",
952
"10110000",
953
"10100000",
954
"01000101",
955
"11110110",
956
"10100000",
957
"00000100",
958
"11110010",
959
"10100000",
960
"01000100",
961
"00100110",
962
"00000100",
963
"10000001",
964
"00010000",
965
"00100101",
966
"00000010",
967
"10000110",
968
"00001111",
969
"10001011",
970
"00000100",
971
"10110111",
972
"10100000",
973
"01000111",
974
"10000000",
975
"00000011",
976
"10110111",
977
"10100000",
978
"01000110",
979
"11001110",
980
"11100001",
981
"10010011",
982
"10111101",
983
"11100000",
984
"01111110",
985
"01011111",
986
"11001110",
987
"10100000",
988
"01000111",
989
"10001101",
990
"00100100",
991
"11001110",
992
"10100000",
993
"01000100",
994
"10001101",
995
"00011111",
996
"10001101",
997
"00011101",
998
"11111110",
999
"10100000",
1000
"01000100",
1001
"10001101",
1002
"00011000",
1003
"01111010",
1004
"10100000",
1005
"01000110",
1006
"00100110",
1007
"11111001",
1008
"11111111",
1009
"10100000",
1010
"01000100",
1011
"01010011",
1012
"00110111",
1013
"00110000",
1014
"10001101",
1015
"00001011",
1016
"00110011",
1017
"11111110",
1018
"10100000",
1019
"01000100",
1020
"00001001",
1021
"10111100",
1022
"10100000",
1023
"00000100",
1024
"00100110",
1025
"10110011",
1026
"00111001",
1027
"11101011",
1028
"00000000",
1029
"01111110",
1030
"11100000",
1031
"10111111",
1032
"01000111",
1033
"11100001",
1034
"11010000",
1035
"01011010",
1036
"11000000",
1037
"00000000",
1038
"01001101",
1039
"11100000",
1040
"10001000",
1041
"01000110",
1042
"11100001",
1043
"10101110",
1044
"01010010",
1045
"11100001",
1046
"00110000",
1047
"01001010",
1048
"11100000",
1049
"00000101",
1050
"01000011",
1051
"11100010",
1052
"11001100",
1053
"01000100",
1054
"11100010",
1055
"10001111",
1056
"01000010",
1057
"11100010",
1058
"11011001",
1059
"01001111",
1060
"11100010",
1061
"01101001",
1062
"01010000",
1063
"11100011",
1064
"00011010",
1065
"01001100",
1066
"11100000",
1067
"00001100",
1068
"01000101",
1069
"11100011",
1070
"00011110",
1071
"11100000",
1072
"00000000",
1073
"11100001",
1074
"10001011",
1075
"11100001",
1076
"10100111",
1077
"11100000",
1078
"11010000"
1079
);
1080
begin
1081
   data <= rom_data(conv_integer(addr));
1082
end architecture basic;
1083
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.