OpenCores
URL https://opencores.org/ocsvn/System68/System68/trunk

Subversion Repositories System68

[/] [System68/] [tags/] [arelease/] [vhdl/] [swtbug.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 dilbert57
--===========================================================================--
2
--
3
--  S Y N T H E Z I A B L E    SWTBUG ROM   C O R E
4
--
5
--  www.OpenCores.Org - December 2002
6
--  This core adheres to the GNU public license  
7
--
8
-- File name      : swtbug.vhd
9
--
10
-- entity name    : boot_rom
11
--
12
-- Purpose        : Implements a 1K x 8 ROM containing the
13
--                  SWTBUG monitor program for the SWTPC 6800
14
--                  
15
-- Dependencies   : ieee.Std_Logic_1164
16
--                  ieee.std_logic_unsigned
17
--                  ieee.std_logic_arith
18
--
19
-- Author         : John E. Kent      
20
--
21
--===========================================================================----
22
--
23
-- Revision History:
24
--
25
-- Date:          Revision         Author
26
-- 22 Sep 2002    0.1              John Kent
27
--
28
library ieee;
29
use ieee.std_logic_1164.all;
30
use ieee.std_logic_arith.all;
31
use ieee.std_logic_unsigned.all;
32
 
33
entity boot_rom is
34
  port (
35
    addr   : in   std_logic_vector(9 downto 0);
36
    data   : out  std_logic_vector(7 downto 0)
37
  );
38
end entity boot_rom;
39
 
40
architecture basic of boot_rom is
41
  constant width   : integer := 8;
42
  constant memsize : integer := 1024;
43
 
44
  type rom_array is array(0 to memsize-1) of std_logic_vector(width-1 downto 0);
45
 
46
  constant rom_data : rom_array :=
47
(
48
"11111110",
49
"10100000",
50
"00000000",
51
"01101110",
52
"00000000",
53
"10001101",
54
"01000000",
55
"01101110",
56
"00000000",
57
"00010000",
58
"00010110",
59
"00000100",
60
"10111101",
61
"11100011",
62
"00110100",
63
"10001101",
64
"01100111",
65
"10000001",
66
"01010011",
67
"00100110",
68
"11111010",
69
"10001101",
70
"01100001",
71
"10000001",
72
"00111001",
73
"00100111",
74
"00101001",
75
"10000001",
76
"00110001",
77
"00100110",
78
"11110000",
79
"01111111",
80
"10100000",
81
"00001111",
82
"10001101",
83
"00110001",
84
"10000000",
85
"00000010",
86
"10110111",
87
"10100000",
88
"01000111",
89
"10001101",
90
"00011100",
91
"10001101",
92
"00101000",
93
"01111010",
94
"10100000",
95
"01000111",
96
"00100111",
97
"00001001",
98
"10100111",
99
"00000000",
100
"10100001",
101
"00000000",
102
"00100110",
103
"00001000",
104
"00001000",
105
"00100000",
106
"11110000",
107
"01111100",
108
"10100000",
109
"00001111",
110
"00100111",
111
"11001111",
112
"10000110",
113
"00111111",
114
"10001101",
115
"00110001",
116
"01111110",
117
"11100010",
118
"11010100",
119
"10001101",
120
"00001100",
121
"10110111",
122
"10100000",
123
"00001101",
124
"10001101",
125
"00000111",
126
"10110111",
127
"10100000",
128
"00001110",
129
"11111110",
130
"10100000",
131
"00001101",
132
"00111001",
133
"10001101",
134
"01010011",
135
"01001000",
136
"01001000",
137
"01001000",
138
"01001000",
139
"00010110",
140
"10001101",
141
"01001100",
142
"00011011",
143
"00010110",
144
"11111011",
145
"10100000",
146
"00001111",
147
"11110111",
148
"10100000",
149
"00001111",
150
"00111001",
151
"01000100",
152
"01000100",
153
"01000100",
154
"01000100",
155
"10000100",
156
"00001111",
157
"10001011",
158
"00110000",
159
"10000001",
160
"00111001",
161
"00100011",
162
"00000010",
163
"10001011",
164
"00000111",
165
"01111110",
166
"11100001",
167
"11010001",
168
"01111110",
169
"11100001",
170
"10101100",
171
"10001101",
172
"11111000",
173
"00001000",
174
"10100110",
175
"00000000",
176
"10000001",
177
"00000100",
178
"00100110",
179
"11110111",
180
"00111001",
181
"01111110",
182
"11100001",
183
"01001010",
184
"10001101",
185
"10111101",
186
"11001110",
187
"11100001",
188
"10011101",
189
"10001101",
190
"11101111",
191
"11001110",
192
"10100000",
193
"00001101",
194
"10001101",
195
"00110100",
196
"11111110",
197
"10100000",
198
"00001101",
199
"10001101",
200
"00110001",
201
"10001101",
202
"00110001",
203
"10001101",
204
"11011011",
205
"10000001",
206
"00100000",
207
"00100111",
208
"11111010",
209
"10000001",
210
"00001101",
211
"00100111",
212
"11100000",
213
"10000001",
214
"01011110",
215
"00100000",
216
"00101100",
217
"00000001",
218
"10001101",
219
"11001100",
220
"10000000",
221
"00110000",
222
"00101011",
223
"01001100",
224
"10000001",
225
"00001001",
226
"00101111",
227
"00001010",
228
"10000001",
229
"00010001",
230
"00101011",
231
"01000100",
232
"10000001",
233
"00010110",
234
"00101110",
235
"01000000",
236
"10000000",
237
"00000111",
238
"00111001",
239
"10100110",
240
"00000000",
241
"10001101",
242
"10100100",
243
"10100110",
244
"00000000",
245
"00001000",
246
"00100000",
247
"10100011",
248
"10001101",
249
"11110101",
250
"10001101",
251
"11110011",
252
"10000110",
253
"00100000",
254
"00100000",
255
"10100101",
256
"10001110",
257
"10100000",
258
"01000010",
259
"00100000",
260
"00101100",
261
"00100110",
262
"00000111",
263
"00001001",
264
"00001001",
265
"11111111",
266
"10100000",
267
"00001101",
268
"00100000",
269
"10101100",
270
"11111111",
271
"10100000",
272
"00001101",
273
"00100000",
274
"00000010",
275
"00100000",
276
"01101101",
277
"10000001",
278
"00110000",
279
"00100101",
280
"10100001",
281
"10000001",
282
"01000110",
283
"00100010",
284
"10011101",
285
"10001101",
286
"10111101",
287
"10111101",
288
"11100000",
289
"01010111",
290
"00001001",
291
"10100111",
292
"00000000",
293
"10100001",
294
"00000000",
295
"00100111",
296
"10010001",
297
"01111110",
298
"11100000",
299
"01000000",
300
"10111110",
301
"10100000",
302
"00001000",
303
"00100000",
304
"01001001",
305
"10111111",
306
"10100000",
307
"00001000",
308
"10000110",
309
"11111111",
310
"10111101",
311
"11100011",
312
"00001000",
313
"11001110",
314
"10000000",
315
"00000100",
316
"10111101",
317
"11100010",
318
"10000100",
319
"10100110",
320
"00000000",
321
"10100001",
322
"00000010",
323
"00100000",
324
"00000010",
325
"00100000",
326
"00011001",
327
"00100110",
328
"00111001",
329
"10000110",
330
"00000011",
331
"10100111",
332
"00000000",
333
"10000110",
334
"00010001",
335
"10100111",
336
"00000000",
337
"00100000",
338
"00101111",
339
"00000001",
340
"10111111",
341
"10100000",
342
"00001000",
343
"00110000",
344
"01101101",
345
"00000110",
346
"00100110",
347
"00000010",
348
"01101010",
349
"00000101",
350
"01101010",
351
"00000110",
352
"11001110",
353
"11100001",
354
"10011101",
355
"10111101",
356
"11100000",
357
"01111110",
358
"11111110",
359
"10100000",
360
"00001000",
361
"00001000",
362
"10001101",
363
"10001110",
364
"10001101",
365
"10001100",
366
"10001101",
367
"10001010",
368
"10001101",
369
"10000110",
370
"10001101",
371
"10000100",
372
"11001110",
373
"10100000",
374
"00001000",
375
"10111101",
376
"11100000",
377
"11001000",
378
"11111110",
379
"10100000",
380
"00010010",
381
"10001100",
382
"11100001",
383
"00100011",
384
"00100111",
385
"00011001",
386
"10001110",
387
"10100000",
388
"01000010",
389
"11001110",
390
"10000000",
391
"00000100",
392
"11111111",
393
"10100000",
394
"00001010",
395
"01111111",
396
"10100000",
397
"00001100",
398
"10001101",
399
"01110011",
400
"00100111",
401
"00000011",
402
"10111101",
403
"11100010",
404
"01111101",
405
"10111101",
406
"11100011",
407
"01010011",
408
"10111101",
409
"11100011",
410
"01000111",
411
"11001110",
412
"11100001",
413
"10011100",
414
"10111101",
415
"11100000",
416
"01111110",
417
"10001101",
418
"00111001",
419
"11001110",
420
"11100011",
421
"11010001",
422
"10100001",
423
"00000000",
424
"00100110",
425
"00000111",
426
"10111101",
427
"11100000",
428
"11001100",
429
"11101110",
430
"00000001",
431
"01101110",
432
"00000000",
433
"00001000",
434
"00001000",
435
"00001000",
436
"10001100",
437
"11100011",
438
"11111000",
439
"00100110",
440
"11101101",
441
"00100000",
442
"10111111",
443
"11111110",
444
"10100000",
445
"00010010",
446
"01101110",
447
"00000000",
448
"01010011",
449
"00111001",
450
"00000100",
451
"00001101",
452
"00001010",
453
"00010101",
454
"00000000",
455
"00000000",
456
"00000000",
457
"01010011",
458
"00110001",
459
"00000100",
460
"00010011",
461
"00001101",
462
"00001010",
463
"00010101",
464
"00000000",
465
"00000000",
466
"00000000",
467
"00100100",
468
"00000100",
469
"00100000",
470
"01001100",
471
"11111110",
472
"10100000",
473
"00000110",
474
"01101110",
475
"00000000",
476
"00100000",
477
"01000000",
478
"10111101",
479
"11100000",
480
"01000111",
481
"11111111",
482
"10100000",
483
"00000100",
484
"10111101",
485
"11100000",
486
"01000111",
487
"10111101",
488
"11100000",
489
"01010101",
490
"00010110",
491
"10100110",
492
"00000000",
493
"11111111",
494
"10100000",
495
"00001101",
496
"00010001",
497
"00100111",
498
"00000010",
499
"00100000",
500
"00100001",
501
"11001110",
502
"11100001",
503
"10011101",
504
"10111101",
505
"11100000",
506
"01111110",
507
"11001110",
508
"10100000",
509
"00001101",
510
"00100000",
511
"00010000",
512
"00111011",
513
"00100000",
514
"00111010",
515
"11111111",
516
"10100000",
517
"00010000",
518
"11111110",
519
"10100000",
520
"00001010",
521
"00110111",
522
"11100110",
523
"00000001",
524
"11100001",
525
"00000011",
526
"00110011",
527
"00111001",
528
"10111101",
529
"11100000",
530
"11001000",
531
"11111110",
532
"10100000",
533
"00001101",
534
"10111100",
535
"10100000",
536
"00000100",
537
"00100111",
538
"10011110",
539
"00001000",
540
"00100000",
541
"11001101",
542
"10001101",
543
"00000110",
544
"10000100",
545
"01111111",
546
"00111001",
547
"00110001",
548
"00110001",
549
"00110001",
550
"00110111",
551
"10001101",
552
"11011010",
553
"00100110",
554
"00101000",
555
"10000110",
556
"00010101",
557
"10100111",
558
"00000000",
559
"10100110",
560
"00000000",
561
"01000111",
562
"00100100",
563
"11111011",
564
"10100110",
565
"00000001",
566
"11110110",
567
"10100000",
568
"00001100",
569
"00100111",
570
"00000111",
571
"00100000",
572
"00010001",
573
"00110111",
574
"10001101",
575
"11000011",
576
"00100110",
577
"00101110",
578
"11000110",
579
"00010001",
580
"11100111",
581
"00000000",
582
"11100110",
583
"00000000",
584
"01010111",
585
"01010111",
586
"00100100",
587
"11111010",
588
"10100111",
589
"00000001",
590
"00110011",
591
"11111110",
592
"10100000",
593
"00010000",
594
"00111001",
595
"10100110",
596
"00000000",
597
"00101011",
598
"11111100",
599
"10001101",
600
"00111010",
601
"11000110",
602
"00000100",
603
"11100111",
604
"00000010",
605
"01011000",
606
"10001101",
607
"00101010",
608
"00001101",
609
"01101001",
610
"00000000",
611
"01000110",
612
"01011010",
613
"00100110",
614
"11110111",
615
"10001101",
616
"00100001",
617
"11110110",
618
"10100000",
619
"00001100",
620
"00100111",
621
"00010011",
622
"00100000",
623
"11011110",
624
"10001101",
625
"00100011",
626
"11000110",
627
"00001010",
628
"01101010",
629
"00000000",
630
"10001101",
631
"00010110",
632
"10001101",
633
"00010000",
634
"10100111",
635
"00000000",
636
"00001101",
637
"01000110",
638
"01011010",
639
"00100110",
640
"11110111",
641
"11100110",
642
"00000010",
643
"01011000",
644
"00101010",
645
"11001000",
646
"10001101",
647
"00000010",
648
"00100000",
649
"11000100",
650
"01101101",
651
"00000010",
652
"00101010",
653
"11111100",
654
"01101100",
655
"00000010",
656
"01101010",
657
"00000010",
658
"00111001",
659
"01101111",
660
"00000010",
661
"10001101",
662
"11110111",
663
"00100000",
664
"11110001",
665
"10001101",
666
"10000011",
667
"00010110",
668
"01111111",
669
"10100000",
670
"00001011",
671
"11111110",
672
"10100000",
673
"00001010",
674
"10001101",
675
"00010000",
676
"10001101",
677
"00000111",
678
"11001110",
679
"11100011",
680
"11101111",
681
"00010111",
682
"01111110",
683
"11100001",
684
"01110110",
685
"10000110",
686
"00110100",
687
"10100111",
688
"00000011",
689
"10100111",
690
"00000010",
691
"00111001",
692
"01101100",
693
"00000000",
694
"10000110",
695
"00000111",
696
"10100111",
697
"00000001",
698
"01101100",
699
"00000000",
700
"10100111",
701
"00000010",
702
"00111001",
703
"01111111",
704
"10000000",
705
"00010100",
706
"10001101",
707
"00101110",
708
"11000110",
709
"00001011",
710
"10001101",
711
"00100101",
712
"11100110",
713
"00000100",
714
"11000101",
715
"00000001",
716
"00100110",
717
"11111010",
718
"01101111",
719
"00000110",
720
"10001101",
721
"00011101",
722
"11000110",
723
"10011100",
724
"10001101",
725
"00010111",
726
"11001110",
727
"00100100",
728
"00000000",
729
"11000101",
730
"00000010",
731
"00100111",
732
"00000110",
733
"10110110",
734
"10000000",
735
"00011011",
736
"10100111",
737
"00000000",
738
"00001000",
739
"11110110",
740
"10000000",
741
"00011000",
742
"11000101",
743
"00000001",
744
"00100110",
745
"11101111",
746
"01111110",
747
"00100100",
748
"00000000",
749
"11100111",
750
"00000100",
751
"10001101",
752
"00000000",
753
"00111001",
754
"11001110",
755
"11111111",
756
"11111111",
757
"00001001",
758
"10001100",
759
"10000000",
760
"00010100",
761
"00100110",
762
"11111010",
763
"00111001",
764
"11001110",
765
"11100000",
766
"00001001",
767
"10111101",
768
"11100000",
769
"01111110",
770
"10001101",
771
"11110001",
772
"10111101",
773
"11100011",
774
"01000111",
775
"00100000",
776
"01011000",
777
"11001110",
778
"11100001",
779
"00100011",
780
"10111100",
781
"10100000",
782
"00010010",
783
"00100111",
784
"00011010",
785
"00001000",
786
"10001101",
787
"00110010",
788
"10111101",
789
"11100000",
790
"01000111",
791
"11111111",
792
"10100000",
793
"00010100",
794
"10100110",
795
"00000000",
796
"10110111",
797
"10100000",
798
"00010110",
799
"10000110",
800
"00111111",
801
"10100111",
802
"00000000",
803
"11001110",
804
"11100001",
805
"00100011",
806
"10001101",
807
"00011110",
808
"01111110",
809
"11100001",
810
"01101011",
811
"11111110",
812
"10100000",
813
"00010100",
814
"10110110",
815
"10100000",
816
"00010110",
817
"10100111",
818
"00000000",
819
"11001110",
820
"11100001",
821
"00100100",
822
"00100000",
823
"11011010",
824
"10110111",
825
"10100000",
826
"01000011",
827
"11111110",
828
"10100000",
829
"00010010",
830
"10001100",
831
"11100001",
832
"00100011",
833
"00100111",
834
"00000110",
835
"11001110",
836
"11100001",
837
"00100100",
838
"11111111",
839
"10100000",
840
"00010010",
841
"00111001",
842
"10001101",
843
"01011010",
844
"00100000",
845
"00001111",
846
"11001110",
847
"10100000",
848
"01001001",
849
"11111111",
850
"10100000",
851
"00000100",
852
"00001001",
853
"10001101",
854
"01010010",
855
"11001110",
856
"11100001",
857
"10010000",
858
"10111101",
859
"11100000",
860
"01111110",
861
"10001101",
862
"00100100",
863
"10001101",
864
"10010001",
865
"01111110",
866
"11100001",
867
"01010010",
868
"01110011",
869
"10100000",
870
"00001100",
871
"10000110",
872
"00010001",
873
"11000110",
874
"00100000",
875
"10001101",
876
"00011010",
877
"10111101",
878
"11100001",
879
"11011001",
880
"00100111",
881
"00000100",
882
"10000110",
883
"00111100",
884
"10100111",
885
"00000011",
886
"00111001",
887
"10000110",
888
"00010011",
889
"11000110",
890
"00010000",
891
"00100000",
892
"00001010",
893
"10000110",
894
"00010010",
895
"11000110",
896
"00000100",
897
"00100000",
898
"00000100",
899
"10000110",
900
"00010100",
901
"11000110",
902
"00001000",
903
"10111101",
904
"11100000",
905
"01110101",
906
"10111101",
907
"11100001",
908
"11010110",
909
"00100111",
910
"00010110",
911
"10000110",
912
"00000010",
913
"11001010",
914
"00000001",
915
"10001101",
916
"00001100",
917
"10001101",
918
"00001000",
919
"10000110",
920
"00000010",
921
"11000110",
922
"00000001",
923
"11100111",
924
"00000000",
925
"10001101",
926
"00000010",
927
"10000110",
928
"00000110",
929
"10100111",
930
"00000001",
931
"11100111",
932
"00000000",
933
"00111001",
934
"11111110",
935
"10100000",
936
"00000010",
937
"11111111",
938
"10100000",
939
"01000100",
940
"10001101",
941
"11001111",
942
"10110110",
943
"10100000",
944
"00000101",
945
"10110000",
946
"10100000",
947
"01000101",
948
"11110110",
949
"10100000",
950
"00000100",
951
"11110010",
952
"10100000",
953
"01000100",
954
"00100110",
955
"00000100",
956
"10000001",
957
"00010000",
958
"00100101",
959
"00000010",
960
"10000110",
961
"00001111",
962
"10001011",
963
"00000100",
964
"10110111",
965
"10100000",
966
"01000111",
967
"10000000",
968
"00000011",
969
"10110111",
970
"10100000",
971
"01000110",
972
"11001110",
973
"11100001",
974
"10010011",
975
"10111101",
976
"11100000",
977
"01111110",
978
"01011111",
979
"11001110",
980
"10100000",
981
"01000111",
982
"10001101",
983
"00100100",
984
"11001110",
985
"10100000",
986
"01000100",
987
"10001101",
988
"00011111",
989
"10001101",
990
"00011101",
991
"11111110",
992
"10100000",
993
"01000100",
994
"10001101",
995
"00011000",
996
"01111010",
997
"10100000",
998
"01000110",
999
"00100110",
1000
"11111001",
1001
"11111111",
1002
"10100000",
1003
"01000100",
1004
"01010011",
1005
"00110111",
1006
"00110000",
1007
"10001101",
1008
"00001011",
1009
"00110011",
1010
"11111110",
1011
"10100000",
1012
"01000100",
1013
"00001001",
1014
"10111100",
1015
"10100000",
1016
"00000100",
1017
"00100110",
1018
"10110011",
1019
"00111001",
1020
"11101011",
1021
"00000000",
1022
"01111110",
1023
"11100000",
1024
"10111111",
1025
"01000111",
1026
"11100001",
1027
"11010000",
1028
"01011010",
1029
"11000000",
1030
"00000000",
1031
"01001101",
1032
"11100000",
1033
"10001000",
1034
"01000110",
1035
"11100001",
1036
"10101110",
1037
"01010010",
1038
"11100001",
1039
"00110000",
1040
"01001010",
1041
"11100000",
1042
"00000101",
1043
"01000011",
1044
"11100010",
1045
"11001100",
1046
"01000100",
1047
"11100010",
1048
"10001111",
1049
"01000010",
1050
"11100010",
1051
"11011001",
1052
"01001111",
1053
"11100010",
1054
"01101001",
1055
"01010000",
1056
"11100011",
1057
"00011010",
1058
"01001100",
1059
"11100000",
1060
"00001100",
1061
"01000101",
1062
"11100011",
1063
"00011110",
1064
"11100000",
1065
"00000000",
1066
"11100001",
1067
"10001011",
1068
"11100001",
1069
"10100111",
1070
"11100000",
1071
"11010000"
1072
);
1073
begin
1074
   data <= rom_data(conv_integer(addr));
1075
end architecture basic;
1076
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.