OpenCores
URL https://opencores.org/ocsvn/System68/System68/trunk

Subversion Repositories System68

[/] [System68/] [trunk/] [vhdl/] [swtbug.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 dilbert57
--===========================================================================--
2
--
3
--  S Y N T H E Z I A B L E    SWTBUG ROM   C O R E
4
--
5
--  www.OpenCores.Org - December 2002
6
--  This core adheres to the GNU public license  
7
--
8
-- File name      : swtbug.vhd
9
--
10 6 dilbert57
-- entity name    : monitor_rom
11 4 dilbert57
--
12
-- Purpose        : Implements a 1K x 8 ROM containing the
13
--                  SWTBUG monitor program for the SWTPC 6800
14
--                  
15
-- Dependencies   : ieee.Std_Logic_1164
16
--                  ieee.std_logic_unsigned
17
--                  ieee.std_logic_arith
18
--
19
-- Author         : John E. Kent      
20
--
21
--===========================================================================----
22
--
23
-- Revision History:
24
--
25
-- Date:          Revision         Author
26
-- 22 Sep 2002    0.1              John Kent
27
--
28
library ieee;
29
use ieee.std_logic_1164.all;
30
use ieee.std_logic_arith.all;
31
use ieee.std_logic_unsigned.all;
32
 
33 6 dilbert57
entity monitor_rom is
34 4 dilbert57
  port (
35 6 dilbert57
    cs     : in  std_logic;
36
    addr   : in  std_logic_vector(9 downto 0);
37
    data   : out std_logic_vector(7 downto 0)
38 4 dilbert57
  );
39 6 dilbert57
end;
40 4 dilbert57
 
41 6 dilbert57
architecture basic of monitor_rom is
42 4 dilbert57
  constant width   : integer := 8;
43
  constant memsize : integer := 1024;
44
 
45
  type rom_array is array(0 to memsize-1) of std_logic_vector(width-1 downto 0);
46
 
47
  constant rom_data : rom_array :=
48
(
49
"11111110",
50
"10100000",
51
"00000000",
52
"01101110",
53
"00000000",
54
"10001101",
55
"01000000",
56
"01101110",
57
"00000000",
58
"00010000",
59
"00010110",
60
"00000100",
61
"10111101",
62
"11100011",
63
"00110100",
64
"10001101",
65
"01100111",
66
"10000001",
67
"01010011",
68
"00100110",
69
"11111010",
70
"10001101",
71
"01100001",
72
"10000001",
73
"00111001",
74
"00100111",
75
"00101001",
76
"10000001",
77
"00110001",
78
"00100110",
79
"11110000",
80
"01111111",
81
"10100000",
82
"00001111",
83
"10001101",
84
"00110001",
85
"10000000",
86
"00000010",
87
"10110111",
88
"10100000",
89
"01000111",
90
"10001101",
91
"00011100",
92
"10001101",
93
"00101000",
94
"01111010",
95
"10100000",
96
"01000111",
97
"00100111",
98
"00001001",
99
"10100111",
100
"00000000",
101
"10100001",
102
"00000000",
103
"00100110",
104
"00001000",
105
"00001000",
106
"00100000",
107
"11110000",
108
"01111100",
109
"10100000",
110
"00001111",
111
"00100111",
112
"11001111",
113
"10000110",
114
"00111111",
115
"10001101",
116
"00110001",
117
"01111110",
118
"11100010",
119
"11010100",
120
"10001101",
121
"00001100",
122
"10110111",
123
"10100000",
124
"00001101",
125
"10001101",
126
"00000111",
127
"10110111",
128
"10100000",
129
"00001110",
130
"11111110",
131
"10100000",
132
"00001101",
133
"00111001",
134
"10001101",
135
"01010011",
136
"01001000",
137
"01001000",
138
"01001000",
139
"01001000",
140
"00010110",
141
"10001101",
142
"01001100",
143
"00011011",
144
"00010110",
145
"11111011",
146
"10100000",
147
"00001111",
148
"11110111",
149
"10100000",
150
"00001111",
151
"00111001",
152
"01000100",
153
"01000100",
154
"01000100",
155
"01000100",
156
"10000100",
157
"00001111",
158
"10001011",
159
"00110000",
160
"10000001",
161
"00111001",
162
"00100011",
163
"00000010",
164
"10001011",
165
"00000111",
166
"01111110",
167
"11100001",
168
"11010001",
169
"01111110",
170
"11100001",
171
"10101100",
172
"10001101",
173
"11111000",
174
"00001000",
175
"10100110",
176
"00000000",
177
"10000001",
178
"00000100",
179
"00100110",
180
"11110111",
181
"00111001",
182
"01111110",
183
"11100001",
184
"01001010",
185
"10001101",
186
"10111101",
187
"11001110",
188
"11100001",
189
"10011101",
190
"10001101",
191
"11101111",
192
"11001110",
193
"10100000",
194
"00001101",
195
"10001101",
196
"00110100",
197
"11111110",
198
"10100000",
199
"00001101",
200
"10001101",
201
"00110001",
202
"10001101",
203
"00110001",
204
"10001101",
205
"11011011",
206
"10000001",
207
"00100000",
208
"00100111",
209
"11111010",
210
"10000001",
211
"00001101",
212
"00100111",
213
"11100000",
214
"10000001",
215
"01011110",
216
"00100000",
217
"00101100",
218
"00000001",
219
"10001101",
220
"11001100",
221
"10000000",
222
"00110000",
223
"00101011",
224
"01001100",
225
"10000001",
226
"00001001",
227
"00101111",
228
"00001010",
229
"10000001",
230
"00010001",
231
"00101011",
232
"01000100",
233
"10000001",
234
"00010110",
235
"00101110",
236
"01000000",
237
"10000000",
238
"00000111",
239
"00111001",
240
"10100110",
241
"00000000",
242
"10001101",
243
"10100100",
244
"10100110",
245
"00000000",
246
"00001000",
247
"00100000",
248
"10100011",
249
"10001101",
250
"11110101",
251
"10001101",
252
"11110011",
253
"10000110",
254
"00100000",
255
"00100000",
256
"10100101",
257
"10001110",
258
"10100000",
259
"01000010",
260
"00100000",
261
"00101100",
262
"00100110",
263
"00000111",
264
"00001001",
265
"00001001",
266
"11111111",
267
"10100000",
268
"00001101",
269
"00100000",
270
"10101100",
271
"11111111",
272
"10100000",
273
"00001101",
274
"00100000",
275
"00000010",
276
"00100000",
277
"01101101",
278
"10000001",
279
"00110000",
280
"00100101",
281
"10100001",
282
"10000001",
283
"01000110",
284
"00100010",
285
"10011101",
286
"10001101",
287
"10111101",
288
"10111101",
289
"11100000",
290
"01010111",
291
"00001001",
292
"10100111",
293
"00000000",
294
"10100001",
295
"00000000",
296
"00100111",
297
"10010001",
298
"01111110",
299
"11100000",
300
"01000000",
301
"10111110",
302
"10100000",
303
"00001000",
304
"00100000",
305
"01001001",
306
"10111111",
307
"10100000",
308
"00001000",
309
"10000110",
310
"11111111",
311
"10111101",
312
"11100011",
313
"00001000",
314
"11001110",
315
"10000000",
316
"00000100",
317
"10111101",
318
"11100010",
319
"10000100",
320
"10100110",
321
"00000000",
322
"10100001",
323
"00000010",
324
"00100000",
325
"00000010",
326
"00100000",
327
"00011001",
328
"00100110",
329
"00111001",
330
"10000110",
331
"00000011",
332
"10100111",
333
"00000000",
334
"10000110",
335
"00010001",
336
"10100111",
337
"00000000",
338
"00100000",
339
"00101111",
340
"00000001",
341
"10111111",
342
"10100000",
343
"00001000",
344
"00110000",
345
"01101101",
346
"00000110",
347
"00100110",
348
"00000010",
349
"01101010",
350
"00000101",
351
"01101010",
352
"00000110",
353
"11001110",
354
"11100001",
355
"10011101",
356
"10111101",
357
"11100000",
358
"01111110",
359
"11111110",
360
"10100000",
361
"00001000",
362
"00001000",
363
"10001101",
364
"10001110",
365
"10001101",
366
"10001100",
367
"10001101",
368
"10001010",
369
"10001101",
370
"10000110",
371
"10001101",
372
"10000100",
373
"11001110",
374
"10100000",
375
"00001000",
376
"10111101",
377
"11100000",
378
"11001000",
379
"11111110",
380
"10100000",
381
"00010010",
382
"10001100",
383
"11100001",
384
"00100011",
385
"00100111",
386
"00011001",
387
"10001110",
388
"10100000",
389
"01000010",
390
"11001110",
391
"10000000",
392
"00000100",
393
"11111111",
394
"10100000",
395
"00001010",
396
"01111111",
397
"10100000",
398
"00001100",
399
"10001101",
400
"01110011",
401
"00100111",
402
"00000011",
403
"10111101",
404
"11100010",
405
"01111101",
406
"10111101",
407
"11100011",
408
"01010011",
409
"10111101",
410
"11100011",
411
"01000111",
412
"11001110",
413
"11100001",
414
"10011100",
415
"10111101",
416
"11100000",
417
"01111110",
418
"10001101",
419
"00111001",
420
"11001110",
421
"11100011",
422
"11010001",
423
"10100001",
424
"00000000",
425
"00100110",
426
"00000111",
427
"10111101",
428
"11100000",
429
"11001100",
430
"11101110",
431
"00000001",
432
"01101110",
433
"00000000",
434
"00001000",
435
"00001000",
436
"00001000",
437
"10001100",
438
"11100011",
439
"11111000",
440
"00100110",
441
"11101101",
442
"00100000",
443
"10111111",
444
"11111110",
445
"10100000",
446
"00010010",
447
"01101110",
448
"00000000",
449
"01010011",
450
"00111001",
451
"00000100",
452
"00001101",
453
"00001010",
454
"00010101",
455
"00000000",
456
"00000000",
457
"00000000",
458
"01010011",
459
"00110001",
460
"00000100",
461
"00010011",
462
"00001101",
463
"00001010",
464
"00010101",
465
"00000000",
466
"00000000",
467
"00000000",
468
"00100100",
469
"00000100",
470
"00100000",
471
"01001100",
472
"11111110",
473
"10100000",
474
"00000110",
475
"01101110",
476
"00000000",
477
"00100000",
478
"01000000",
479
"10111101",
480
"11100000",
481
"01000111",
482
"11111111",
483
"10100000",
484
"00000100",
485
"10111101",
486
"11100000",
487
"01000111",
488
"10111101",
489
"11100000",
490
"01010101",
491
"00010110",
492
"10100110",
493
"00000000",
494
"11111111",
495
"10100000",
496
"00001101",
497
"00010001",
498
"00100111",
499
"00000010",
500
"00100000",
501
"00100001",
502
"11001110",
503
"11100001",
504
"10011101",
505
"10111101",
506
"11100000",
507
"01111110",
508
"11001110",
509
"10100000",
510
"00001101",
511
"00100000",
512
"00010000",
513
"00111011",
514
"00100000",
515
"00111010",
516
"11111111",
517
"10100000",
518
"00010000",
519
"11111110",
520
"10100000",
521
"00001010",
522
"00110111",
523
"11100110",
524
"00000001",
525
"11100001",
526
"00000011",
527
"00110011",
528
"00111001",
529
"10111101",
530
"11100000",
531
"11001000",
532
"11111110",
533
"10100000",
534
"00001101",
535
"10111100",
536
"10100000",
537
"00000100",
538
"00100111",
539
"10011110",
540
"00001000",
541
"00100000",
542
"11001101",
543
"10001101",
544
"00000110",
545
"10000100",
546
"01111111",
547
"00111001",
548
"00110001",
549
"00110001",
550
"00110001",
551
"00110111",
552
"10001101",
553
"11011010",
554
"00100110",
555
"00101000",
556
"10000110",
557
"00010101",
558
"10100111",
559
"00000000",
560
"10100110",
561
"00000000",
562
"01000111",
563
"00100100",
564
"11111011",
565
"10100110",
566
"00000001",
567
"11110110",
568
"10100000",
569
"00001100",
570
"00100111",
571
"00000111",
572
"00100000",
573
"00010001",
574
"00110111",
575
"10001101",
576
"11000011",
577
"00100110",
578
"00101110",
579
"11000110",
580
"00010001",
581
"11100111",
582
"00000000",
583
"11100110",
584
"00000000",
585
"01010111",
586
"01010111",
587
"00100100",
588
"11111010",
589
"10100111",
590
"00000001",
591
"00110011",
592
"11111110",
593
"10100000",
594
"00010000",
595
"00111001",
596
"10100110",
597
"00000000",
598
"00101011",
599
"11111100",
600
"10001101",
601
"00111010",
602
"11000110",
603
"00000100",
604
"11100111",
605
"00000010",
606
"01011000",
607
"10001101",
608
"00101010",
609
"00001101",
610
"01101001",
611
"00000000",
612
"01000110",
613
"01011010",
614
"00100110",
615
"11110111",
616
"10001101",
617
"00100001",
618
"11110110",
619
"10100000",
620
"00001100",
621
"00100111",
622
"00010011",
623
"00100000",
624
"11011110",
625
"10001101",
626
"00100011",
627
"11000110",
628
"00001010",
629
"01101010",
630
"00000000",
631
"10001101",
632
"00010110",
633
"10001101",
634
"00010000",
635
"10100111",
636
"00000000",
637
"00001101",
638
"01000110",
639
"01011010",
640
"00100110",
641
"11110111",
642
"11100110",
643
"00000010",
644
"01011000",
645
"00101010",
646
"11001000",
647
"10001101",
648
"00000010",
649
"00100000",
650
"11000100",
651
"01101101",
652
"00000010",
653
"00101010",
654
"11111100",
655
"01101100",
656
"00000010",
657
"01101010",
658
"00000010",
659
"00111001",
660
"01101111",
661
"00000010",
662
"10001101",
663
"11110111",
664
"00100000",
665
"11110001",
666
"10001101",
667
"10000011",
668
"00010110",
669
"01111111",
670
"10100000",
671
"00001011",
672
"11111110",
673
"10100000",
674
"00001010",
675
"10001101",
676
"00010000",
677
"10001101",
678
"00000111",
679
"11001110",
680
"11100011",
681
"11101111",
682
"00010111",
683
"01111110",
684
"11100001",
685
"01110110",
686
"10000110",
687
"00110100",
688
"10100111",
689
"00000011",
690
"10100111",
691
"00000010",
692
"00111001",
693
"01101100",
694
"00000000",
695
"10000110",
696
"00000111",
697
"10100111",
698
"00000001",
699
"01101100",
700
"00000000",
701
"10100111",
702
"00000010",
703
"00111001",
704
"01111111",
705
"10000000",
706
"00010100",
707
"10001101",
708
"00101110",
709
"11000110",
710
"00001011",
711
"10001101",
712
"00100101",
713
"11100110",
714
"00000100",
715
"11000101",
716
"00000001",
717
"00100110",
718
"11111010",
719
"01101111",
720
"00000110",
721
"10001101",
722
"00011101",
723
"11000110",
724
"10011100",
725
"10001101",
726
"00010111",
727
"11001110",
728
"00100100",
729
"00000000",
730
"11000101",
731
"00000010",
732
"00100111",
733
"00000110",
734
"10110110",
735
"10000000",
736
"00011011",
737
"10100111",
738
"00000000",
739
"00001000",
740
"11110110",
741
"10000000",
742
"00011000",
743
"11000101",
744
"00000001",
745
"00100110",
746
"11101111",
747
"01111110",
748
"00100100",
749
"00000000",
750
"11100111",
751
"00000100",
752
"10001101",
753
"00000000",
754
"00111001",
755
"11001110",
756
"11111111",
757
"11111111",
758
"00001001",
759
"10001100",
760
"10000000",
761
"00010100",
762
"00100110",
763
"11111010",
764
"00111001",
765
"11001110",
766
"11100000",
767
"00001001",
768
"10111101",
769
"11100000",
770
"01111110",
771
"10001101",
772
"11110001",
773
"10111101",
774
"11100011",
775
"01000111",
776
"00100000",
777
"01011000",
778
"11001110",
779
"11100001",
780
"00100011",
781
"10111100",
782
"10100000",
783
"00010010",
784
"00100111",
785
"00011010",
786
"00001000",
787
"10001101",
788
"00110010",
789
"10111101",
790
"11100000",
791
"01000111",
792
"11111111",
793
"10100000",
794
"00010100",
795
"10100110",
796
"00000000",
797
"10110111",
798
"10100000",
799
"00010110",
800
"10000110",
801
"00111111",
802
"10100111",
803
"00000000",
804
"11001110",
805
"11100001",
806
"00100011",
807
"10001101",
808
"00011110",
809
"01111110",
810
"11100001",
811
"01101011",
812
"11111110",
813
"10100000",
814
"00010100",
815
"10110110",
816
"10100000",
817
"00010110",
818
"10100111",
819
"00000000",
820
"11001110",
821
"11100001",
822
"00100100",
823
"00100000",
824
"11011010",
825
"10110111",
826
"10100000",
827
"01000011",
828
"11111110",
829
"10100000",
830
"00010010",
831
"10001100",
832
"11100001",
833
"00100011",
834
"00100111",
835
"00000110",
836
"11001110",
837
"11100001",
838
"00100100",
839
"11111111",
840
"10100000",
841
"00010010",
842
"00111001",
843
"10001101",
844
"01011010",
845
"00100000",
846
"00001111",
847
"11001110",
848
"10100000",
849
"01001001",
850
"11111111",
851
"10100000",
852
"00000100",
853
"00001001",
854
"10001101",
855
"01010010",
856
"11001110",
857
"11100001",
858
"10010000",
859
"10111101",
860
"11100000",
861
"01111110",
862
"10001101",
863
"00100100",
864
"10001101",
865
"10010001",
866
"01111110",
867
"11100001",
868
"01010010",
869
"01110011",
870
"10100000",
871
"00001100",
872
"10000110",
873
"00010001",
874
"11000110",
875
"00100000",
876
"10001101",
877
"00011010",
878
"10111101",
879
"11100001",
880
"11011001",
881
"00100111",
882
"00000100",
883
"10000110",
884
"00111100",
885
"10100111",
886
"00000011",
887
"00111001",
888
"10000110",
889
"00010011",
890
"11000110",
891
"00010000",
892
"00100000",
893
"00001010",
894
"10000110",
895
"00010010",
896
"11000110",
897
"00000100",
898
"00100000",
899
"00000100",
900
"10000110",
901
"00010100",
902
"11000110",
903
"00001000",
904
"10111101",
905
"11100000",
906
"01110101",
907
"10111101",
908
"11100001",
909
"11010110",
910
"00100111",
911
"00010110",
912
"10000110",
913
"00000010",
914
"11001010",
915
"00000001",
916
"10001101",
917
"00001100",
918
"10001101",
919
"00001000",
920
"10000110",
921
"00000010",
922
"11000110",
923
"00000001",
924
"11100111",
925
"00000000",
926
"10001101",
927
"00000010",
928
"10000110",
929
"00000110",
930
"10100111",
931
"00000001",
932
"11100111",
933
"00000000",
934
"00111001",
935
"11111110",
936
"10100000",
937
"00000010",
938
"11111111",
939
"10100000",
940
"01000100",
941
"10001101",
942
"11001111",
943
"10110110",
944
"10100000",
945
"00000101",
946
"10110000",
947
"10100000",
948
"01000101",
949
"11110110",
950
"10100000",
951
"00000100",
952
"11110010",
953
"10100000",
954
"01000100",
955
"00100110",
956
"00000100",
957
"10000001",
958
"00010000",
959
"00100101",
960
"00000010",
961
"10000110",
962
"00001111",
963
"10001011",
964
"00000100",
965
"10110111",
966
"10100000",
967
"01000111",
968
"10000000",
969
"00000011",
970
"10110111",
971
"10100000",
972
"01000110",
973
"11001110",
974
"11100001",
975
"10010011",
976
"10111101",
977
"11100000",
978
"01111110",
979
"01011111",
980
"11001110",
981
"10100000",
982
"01000111",
983
"10001101",
984
"00100100",
985
"11001110",
986
"10100000",
987
"01000100",
988
"10001101",
989
"00011111",
990
"10001101",
991
"00011101",
992
"11111110",
993
"10100000",
994
"01000100",
995
"10001101",
996
"00011000",
997
"01111010",
998
"10100000",
999
"01000110",
1000
"00100110",
1001
"11111001",
1002
"11111111",
1003
"10100000",
1004
"01000100",
1005
"01010011",
1006
"00110111",
1007
"00110000",
1008
"10001101",
1009
"00001011",
1010
"00110011",
1011
"11111110",
1012
"10100000",
1013
"01000100",
1014
"00001001",
1015
"10111100",
1016
"10100000",
1017
"00000100",
1018
"00100110",
1019
"10110011",
1020
"00111001",
1021
"11101011",
1022
"00000000",
1023
"01111110",
1024
"11100000",
1025
"10111111",
1026
"01000111",
1027
"11100001",
1028
"11010000",
1029
"01011010",
1030
"11000000",
1031
"00000000",
1032
"01001101",
1033
"11100000",
1034
"10001000",
1035
"01000110",
1036
"11100001",
1037
"10101110",
1038
"01010010",
1039
"11100001",
1040
"00110000",
1041
"01001010",
1042
"11100000",
1043
"00000101",
1044
"01000011",
1045
"11100010",
1046
"11001100",
1047
"01000100",
1048
"11100010",
1049
"10001111",
1050
"01000010",
1051
"11100010",
1052
"11011001",
1053
"01001111",
1054
"11100010",
1055
"01101001",
1056
"01010000",
1057
"11100011",
1058
"00011010",
1059
"01001100",
1060
"11100000",
1061
"00001100",
1062
"01000101",
1063
"11100011",
1064
"00011110",
1065
"11100000",
1066
"00000000",
1067
"11100001",
1068
"10001011",
1069
"11100001",
1070
"10100111",
1071
"11100000",
1072
"11010000"
1073
);
1074
begin
1075 6 dilbert57
   data <= rom_data(conv_integer(addr));
1076
end;
1077 4 dilbert57
 

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.