OpenCores
URL https://opencores.org/ocsvn/ac97/ac97/trunk

Subversion Repositories ac97

[/] [ac97/] [trunk/] [sim/] [rtl_sim/] [bin/] [Makefile] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 rudi
 
2
all:    sim
3
SHELL = /bin/sh
4
MS="-s"
5
 
6
##########################################################################
7
#
8
# DUT Sources
9
#
10
##########################################################################
11
DUT_SRC_DIR=../../../rtl/verilog
12
_TARGETS_=      $(DUT_SRC_DIR)/ac97_top.v                      \
13
                $(DUT_SRC_DIR)/ac97_sout.v                     \
14
                $(DUT_SRC_DIR)/ac97_sin.v                      \
15
                $(DUT_SRC_DIR)/ac97_soc.v                      \
16
                $(DUT_SRC_DIR)/ac97_out_fifo.v                 \
17
                $(DUT_SRC_DIR)/ac97_in_fifo.v                  \
18
                $(DUT_SRC_DIR)/ac97_wb_if.v                    \
19
                $(DUT_SRC_DIR)/ac97_rf.v                       \
20
                $(DUT_SRC_DIR)/ac97_prc.v                      \
21
                $(DUT_SRC_DIR)/ac97_fifo_ctrl.v                \
22
                $(DUT_SRC_DIR)/ac97_cra.v                      \
23
                $(DUT_SRC_DIR)/ac97_dma_if.v                   \
24
                $(DUT_SRC_DIR)/ac97_dma_req.v                  \
25
                $(DUT_SRC_DIR)/ac97_int.v                      \
26
                $(DUT_SRC_DIR)/ac97_rst.v
27
 
28
##########################################################################
29
#
30
# Test Bench Sources
31
#
32
##########################################################################
33
_TOP_=test
34
TB_SRC_DIR=../../../bench/verilog
35
_TB_=           $(TB_SRC_DIR)/ac97_codec_sout.v            \
36
                $(TB_SRC_DIR)/ac97_codec_sin.v             \
37
                $(TB_SRC_DIR)/ac97_codec_top.v             \
38
                $(TB_SRC_DIR)/test_bench_top.v             \
39
                $(TB_SRC_DIR)/wb_mast_model.v
40
 
41
##########################################################################
42
#
43
# Misc Variables
44
#
45
##########################################################################
46
 
47 10 rudi
INCDIR=+incdir+./$(DUT_SRC_DIR)/ +incdir+./$(TB_SRC_DIR)/
48
LOGF=-l .nclog
49
#NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT
50
UMC_LIB=/tools/dc_libraries/virtual_silicon/umc_lib.v
51
GATE_NETLIST = ../../../syn/out/mc_top_ps.v
52 8 rudi
 
53
##########################################################################
54
#
55
# Make Targets
56
#
57
##########################################################################
58
 
59
ss:
60
        signalscan -do waves/waves.do -waves waves/waves.trn &
61
 
62 10 rudi
simw:
63
        @$(MAKE) -s sim ACCESS="+access+r " WAVES="+define+WAVES"
64
 
65 8 rudi
simxl:
66
        verilog +incdir+$(DUT_SRC_DIR) +incdir+$(TB_SRC_DIR)    \
67
        $(_TARGETS_) $(_TB_)
68
 
69
sim:
70 10 rudi
        ncverilog -q +define+RUDIS_TB $(_TARGETS_) $(_TB_)      \
71
                $(INCDIR) $(WAVES) $(ACCESS) $(LOGF) +ncstatus  \
72
                +ncuid+`hostname`
73 8 rudi
 
74
hal:
75
        @echo ""
76
        @echo "----- Running HAL ... ----------"
77
        @hal    +incdir+$(DUT_SRC_DIR)                          \
78
                -NOP -NOS -nocheck STYVAL:USEPRT:NOBLKN:DLNBLK  \
79
                $(_TARGETS_)
80
        @echo "----- DONE ... ----------"
81
 
82
clean:
83
        rm -rf  ./waves/*.dsn ./waves/*.trn             \
84
                ncwork/.inc* ncwork/inc*                \
85 10 rudi
                ./verilog.* .nclog hal.log              \
86
                INCA_libs/
87 8 rudi
 
88
##########################################################################
89
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.