OpenCores
URL https://opencores.org/ocsvn/acxbrd/acxbrd/trunk

Subversion Repositories acxbrd

[/] [acxbrd/] [tags/] [arelease/] [pld_init2.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 martin
--
2
--      pld_init2.vhd
3
--
4
--      Pinout for jopcore.brd (simmilar with BB).
5
--      don't use cs and oe in.
6
--
7
--      nce und noe wird durchgeschleift um erstes Programmieren von
8
--      Flash zu erlauben.
9
--      
10
--
11
 
12
 
13
library ieee ;
14
use ieee.std_logic_1164.all ;
15
use ieee.std_logic_unsigned.all;
16
 
17
library EXEMPLAR;                                       -- for pin_number
18
use EXEMPLAR.EXEMPLAR_1164.ALL;
19
 
20
entity pld_init is
21
 
22
port (
23
        clk             : in std_logic;
24
        nreset  : in std_logic;
25
 
26
        a               : out std_logic_vector(17 downto 0);     -- FLASH adr
27
        noe_in  : in std_logic;                                                 -- input from ACEX              -- not used
28
        nce_in  : in std_logic;                                                 -- input from ACEX              -- not used
29
        noe             : out std_logic;                                                -- output to FLASH
30
        nce             : out std_logic;                                                -- output to FLASH
31
        d0in    : in std_logic;                                                 -- D0 from FLASH
32
        d0out   : out std_logic;                                                -- reseved DATA0 to ACEX
33
 
34
        nconf   : out std_logic;                                                -- ACEX nConfig
35
        nstatus : in std_logic;                                                 -- ACEX nStatus                 -- not used
36
        conf_done       : in std_logic;                                         -- ACEX conf_done
37
 
38
        csacx   : out std_logic;                                                -- ACEX CS ???
39
        nws             : out std_logic;                                                -- ACEX nWS
40
        nbsy    : in std_logic;                                                 -- ACEX RDYnBSY                 -- not used
41
 
42
        resacx  : out std_logic                                                 -- ACEX reset line
43
 
44
);
45
attribute pin_number of clk     : signal is "37";
46
attribute pin_number of nreset  : signal is "43";
47
attribute array_pin_number of a         : signal is (
48
        "5", "18", "35", "34", "33", "31", "30", "28", "19",
49
        "21", "22", "25", "27", "23", "20", "15", "8", "14"
50
);
51
attribute pin_number of noe     : signal is "44";
52
attribute pin_number of nce     : signal is "12";
53
attribute pin_number of d0in    : signal is "2";
54
attribute pin_number of d0out   : signal is "13";
55
attribute pin_number of nconf   : signal is "6";
56
attribute pin_number of conf_done       : signal is "38";
57
attribute pin_number of csacx   : signal is "10";
58
attribute pin_number of nws     : signal is "11";
59
attribute pin_number of resacx  : signal is "42";
60
 
61
end pld_init ;
62
 
63
architecture rtl of pld_init is
64
 
65
begin
66
 
67
        nconf <= '1';
68
        nws <= '1';
69
        resacx <= '0';                   -- will be changed to neg. reset (some day)
70
        csacx <= '0';
71
 
72
 
73
        a <= (others => 'Z');
74
        d0out <= '1';
75
        noe <= 'Z';
76
        nce <= 'Z';
77
 
78
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.