OpenCores
URL https://opencores.org/ocsvn/acxbrd/acxbrd/trunk

Subversion Repositories acxbrd

[/] [acxbrd/] [trunk/] [eagle.epf] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 martin
[Eagle]
2
Version="04 08 20"
3
Platform="Windows"
4
Serial="62191E841E-LSR-WL-1EL"
5
Globals="Globals"
6
Desktop="Desktop"
7
 
8
[Globals]
9
AutoSaveProject=1
10
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/19inch.lbr"
11
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/40xx.lbr"
12
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/41xx.lbr"
13
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/45xx.lbr"
14
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/74ac-logic.lbr"
15
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/74ttl-din.lbr"
16
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/74xx-eu.lbr"
17
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/74xx-us.lbr"
18
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/751xx.lbr"
19
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/Cap-Audyn-Sn.lbr"
20
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/Cap-Gold.lbr"
21
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/Cap-M-Supreme.lbr"
22
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/Cap-M-ZN.lbr"
23
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/Cap-M.lbr"
24
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/CapSiem.lbr"
25
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/altera.lbr"
26
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/am29-memory.lbr"
27
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/amd-mach.lbr"
28
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/analog-devices.lbr"
29
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/atmel.lbr"
30
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/battery.lbr"
31
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/burr-brown.lbr"
32
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/busbar.lbr"
33
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/buzzer.lbr"
34
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/cap-pan.lbr"
35
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/capacitor-wima.lbr"
36
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/chipcard-siemens.lbr"
37
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-3m.lbr"
38
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-amp-champ.lbr"
39
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-amp-mt.lbr"
40
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-amp-quick.lbr"
41
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-amp.lbr"
42
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-amphenol.lbr"
43
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-berg.lbr"
44
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-chipcard-iso7816.lbr"
45
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-coax.lbr"
46
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-conrad.lbr"
47
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-deutsch.lbr"
48
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-dil.lbr"
49
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-erni.lbr"
50
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-friwo.lbr"
51
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-harting-h.lbr"
52
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-harting-ml.lbr"
53
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-harting-v.lbr"
54
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-harting.lbr"
55
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-hirschmann.lbr"
56
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-jst.lbr"
57
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-lsta.lbr"
58
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-lstb.lbr"
59
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-lumberg.lbr"
60
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-molex.lbr"
61
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-neutrik_ag.lbr"
62
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-panduit.lbr"
63
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-pc.lbr"
64
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-pc104.lbr"
65
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-phoenix-254.lbr"
66
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-phoenix-500.lbr"
67
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-phoenix-508.lbr"
68
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-ptr500.lbr"
69
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-rib.lbr"
70
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-subd.lbr"
71
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-thomas-betts.lbr"
72
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-vg.lbr"
73
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-wago-500.lbr"
74
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-wago-508.lbr"
75
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-wago.lbr"
76
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-weidmueller-sl35.lbr"
77
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/con-yamaichi.lbr"
78
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/crystal.lbr"
79
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/cypress.lbr"
80
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/dc-dc-converter.lbr"
81
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/dil.lbr"
82
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/diode.lbr"
83
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/display-hp.lbr"
84
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/display-lcd.lbr"
85
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/ecl.lbr"
86
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/exar.lbr"
87
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/fiber-optic-hp.lbr"
88
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/fiber-optic-siemens.lbr"
89
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/fifo.lbr"
90
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/frames.lbr"
91
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/fuse.lbr"
92
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/heatsink.lbr"
93
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/holes.lbr"
94
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/ic-package.lbr"
95
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/inductor-coilcraft.lbr"
96
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/inductor-neosid.lbr"
97
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/inductors.lbr"
98
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/infineon.lbr"
99
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/isd.lbr"
100
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/jumper.lbr"
101
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/lattice.lbr"
102
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/led.lbr"
103
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/linear-technology.lbr"
104
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/linear.lbr"
105
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/marks.lbr"
106
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/maxim.lbr"
107
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/memory-hitachi.lbr"
108
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/memory-idt.lbr"
109
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/memory-nec.lbr"
110
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/memory-samsung.lbr"
111
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/memory-sram.lbr"
112
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/memory.lbr"
113
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/micro-fujitsu.lbr"
114
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/micro-harris.lbr"
115
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/micro-intel.lbr"
116
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/micro-mc68000.lbr"
117
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/micro-motorola.lbr"
118
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/micro-philips.lbr"
119
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/micro-siemens.lbr"
120
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/microchip.lbr"
121
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/micronas.lbr"
122
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/murata-filter.lbr"
123
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/nec-lqfp100-pack.lbr"
124
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/opto-honeywell-3000.lbr"
125
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/opto-honeywell-4000.lbr"
126
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/opto-honeywell.lbr"
127
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/opto-micro-linear.lbr"
128
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/opto-trans-siemens.lbr"
129
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/opto-transmittter-hp.lbr"
130
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/optocoupler.lbr"
131
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/pal.lbr"
132
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/piher.lbr"
133
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/pinhead.lbr"
134
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/plcc-socket.lbr"
135
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/pld-intel.lbr"
136
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/pot-vitrohm.lbr"
137
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/pot-xicor.lbr"
138
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/pot.lbr"
139
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/ptc-ntc.lbr"
140
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/rcl.lbr"
141
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/rectifier.lbr"
142
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/relay.lbr"
143
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/resistor-bourns.lbr"
144
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/resistor-dil.lbr"
145
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/resistor-net.lbr"
146
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/resistor-power.lbr"
147
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/resistor-ruf.lbr"
148
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/resistor-shunt.lbr"
149
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/resistor-sil.lbr"
150
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/rf-micro-devices.lbr"
151
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/semicon-smd-ipc.lbr"
152
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/sensor-heraeus.lbr"
153
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/smd-ipc.lbr"
154
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/smd-special.lbr"
155
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/solpad.lbr"
156
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/special.lbr"
157
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/st-microelectronics.lbr"
158
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/supply1.lbr"
159
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/supply2.lbr"
160
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/switch-dil.lbr"
161
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/switch-misc.lbr"
162
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/switch-omron.lbr"
163
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/switch.lbr"
164
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/telcom.lbr"
165
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/telefunken.lbr"
166
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/testpad.lbr"
167
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/texas.lbr"
168
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/trafo-siemens.lbr"
169
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/trafo.lbr"
170
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/transistor-fet.lbr"
171
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/transistor-npn.lbr"
172
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/transistor-pnp.lbr"
173
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/transistor-power.lbr"
174
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/transistor-small-signal.lbr"
175
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/triac.lbr"
176
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/uln-udn.lbr"
177
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/v-reg-micrel.lbr"
178
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/v-reg.lbr"
179
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/varistor.lbr"
180
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/wafer-scale-psd.lbr"
181
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/wirepad.lbr"
182
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/zetex.lbr"
183
UsedLibrary="C:/PROGRAMME/EAGLE-4.08R2/lbr/zilog.lbr"
184
UsedLibrary="C:/usr/lib/my_eagle.lbr"
185
 
186
[Win_1]
187
Type="Schematic Editor"
188
Loc="88 88 687 487"
189
State=0
190
Number=2
191
File="/usr/cpu/pcb/jopcore1/jopcore.sch"
192
View="-817536 -1195555 2676862 1215777"
193
WireWidths=" 0 1524 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 1524"
194
PadDiameters=" 0 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 64516 0"
195
PadDrills=" 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 50800 64516 8128"
196
ViaDiameters=" 0 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 64516 0"
197
ViaDrills=" 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 50800 64516 6096"
198
HoleDrills=" 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 50800 64516 6096"
199
TextSizes=" 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 50800 64516 17780"
200
PolygonSpacings=" 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 50800 64516 12700"
201
PolygonIsolates=" 0 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 64516 0"
202
SmdSizes=" 2540 1524 3048 1524 4064 2032 6096 3048 8128 4064 10160 5080 12700 6350 12700 6604 14224 7112 16764 8128 17780 9144 19304 9652 21844 10668 25400 12700 38100 19304 50800 25400 12700 6350"
203
WireBend=0
204
PadShape=0
205
ViaShape=0
206
PolygonPour=0
207
PolygonRank=7
208
PolygonThermals=1
209
PolygonOrphans=0
210
TextRatio=8
211
PinDirection=3
212
PinFunction=0
213
PinLength=2
214
PinVisible=3
215
SwapLevel=0
216
ArcDirection=0
217
AddLevel=2
218
PadsSameType=0
219
Layer=91
220
Sheet=1
221
 
222
[Win_2]
223
Type="Control Panel"
224
Loc="132 132 731 531"
225
State=2
226
Number=0
227
 
228
[Desktop]
229
Screen="1024 768"
230
Window="Win_1"
231
Window="Win_2"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.