OpenCores
URL https://opencores.org/ocsvn/adaptive_lms_equalizer/adaptive_lms_equalizer/trunk

Subversion Repositories adaptive_lms_equalizer

[/] [adaptive_lms_equalizer/] [tags/] [V10/] [code/] [test_data_gen.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 digish
--  Copyright (C) 2004-2005 Digish Pandya <digish.pandya@gmail.com>
2
 
3
--  This program is free software; you can redistribute it and/or modify
4
--  it under the terms of the GNU General Public License as published by
5
--  the Free Software Foundation; either version 2 of the License, or
6
--  (at your option) any later version.
7
--
8
--  This program is distributed in the hope that it will be useful,
9
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
10
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
11
--  GNU General Public License for more details.
12
--
13
--  You should have received a copy of the GNU General Public License
14
--  along with this program; if not, write to the Free Software
15
--  Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.
16
 
17
-- VHDL Test Bench Created from source file data_gen.vhd -- 09:38:13 03/25/2005
18
--
19
-- Notes: 
20
-- This testbench has been automatically generated using types std_logic and
21
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends 
22
-- that these types always be used for the top-level I/O of a design in order 
23
-- to guarantee that the testbench will bind correctly to the post-implementation 
24
-- simulation model.
25
--
26
LIBRARY ieee;
27
USE ieee.std_logic_1164.ALL;
28
USE ieee.numeric_std.ALL;
29
 
30
ENTITY testbench IS
31
END testbench;
32
 
33
ARCHITECTURE behavior OF testbench IS
34
 
35
        COMPONENT data_gen
36
        PORT(
37
                clock : IN std_logic;
38
                reset : IN std_logic;
39
                xout : OUT std_logic_vector(7 downto 0);
40
                dxout : OUT std_logic_vector(7 downto 0)
41
                );
42
        END COMPONENT;
43
 
44
        SIGNAL clock :  std_logic;
45
        SIGNAL reset :  std_logic;
46
        SIGNAL xout :  std_logic_vector(7 downto 0);
47
        SIGNAL dxout :  std_logic_vector(7 downto 0);
48
 
49
        CONSTANT clk_high   : time := 10 ns;
50
        CONSTANT clk_low    : time := 10 ns;
51
        CONSTANT clk_period : time := 20 ns;
52
        CONSTANT clk_hold   : time := 4 ns;
53
 
54
 
55
BEGIN
56
 
57
        uut: data_gen PORT MAP(
58
                clock => clock,
59
                reset => reset,
60
                xout => xout,
61
                dxout => dxout
62
        );
63
 
64
 
65
-- *** Test Bench - User Defined Section ***
66
   clk_gen: PROCESS
67
   BEGIN
68
            clock <= '1';
69
            WAIT FOR clk_high;
70
            clock <= '0';
71
            WAIT FOR clk_low;
72
 
73
   END PROCESS clk_gen;
74
 
75
   reset_gen: process
76
   begin
77
                reset <= '1';
78
                wait for clk_period*5;
79
                reset <= '0';
80
 
81
   wait;
82
   end process reset_gen;
83
-- *** End Test Bench - User Defined Section ***
84
 
85
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.