OpenCores
URL https://opencores.org/ocsvn/adaptive_lms_equalizer/adaptive_lms_equalizer/trunk

Subversion Repositories adaptive_lms_equalizer

[/] [adaptive_lms_equalizer/] [tags/] [V10/] [code/] [test_lms.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 digish
--  Copyright (C) 2004-2005 Digish Pandya <digish.pandya@gmail.com>
2
 
3
--  This program is free software; you can redistribute it and/or modify
4
--  it under the terms of the GNU General Public License as published by
5
--  the Free Software Foundation; either version 2 of the License, or
6
--  (at your option) any later version.
7
--
8
--  This program is distributed in the hope that it will be useful,
9
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
10
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
11
--  GNU General Public License for more details.
12
--
13
--  You should have received a copy of the GNU General Public License
14
--  along with this program; if not, write to the Free Software
15
--  Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.
16
 
17
-- VHDL Test Bench Created from source file tf_lms.vhd -- 14:23:32 02/24/2005
18
--
19
-- Notes: 
20
-- This testbench has been automatically generated using types std_logic and
21
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends 
22
-- that these types always be used for the top-level I/O of a design in order 
23
-- to guarantee that the testbench will bind correctly to the post-implementation 
24
-- simulation model.
25
--
26
LIBRARY ieee;
27
USE ieee.std_logic_1164.ALL;
28
USE ieee.numeric_std.ALL;
29
 
30
LIBRARY ieee;
31
USE IEEE.STD_LOGIC_TEXTIO.ALL;
32
USE STD.TEXTIO.ALL;
33
 
34
ENTITY testbench IS
35
END testbench;
36
 
37
ARCHITECTURE behavior OF testbench IS
38
    FILE RESULTS: TEXT IS OUT "yout.txt";
39
    FILE ERRF: TEXT IS OUT "error.txt";
40
 
41
    FUNCTION to_hex( x : IN std_logic_vector) RETURN string IS
42
    VARIABLE result  : STRING(1 TO 8); -- 1024 bits max
43
    VARIABLE i       : INTEGER;
44
    VARIABLE imod    : INTEGER;
45
    VARIABLE j       : INTEGER;
46
    VARIABLE newx    : std_logic_vector(1023 DOWNTO 0);
47
    BEGIN
48
      newx := (OTHERS => '0');
49
      newx(x'RANGE) := x;
50
      i := 7;
51
      j := 1;
52
 
53
      WHILE i >= 0 LOOP
54
        IF    newx(i) = '0' THEN result(j) := '0';
55
           elsif newx(i) = '1' THEN result(j) := '1';
56
        ELSE result(j) := 'X';
57
        END IF;
58
 
59
        i := i-1;
60
           j := j+1;
61
      END LOOP;
62
      RETURN result(1 TO j-1);
63
    END;
64
 
65
        COMPONENT tf_lms
66
        PORT(
67
                xin : IN std_logic_vector(7 downto 0);
68
                dxin : IN std_logic_vector(7 downto 0);
69
                clock : IN std_logic;
70
                err: out std_logic_vector(7 downto 0);
71
        adapt_en: in std_logic;
72
                yout : OUT std_logic_vector(7 downto 0)
73
                );
74
        END COMPONENT;
75
 
76
        SIGNAL xin :  std_logic_vector(7 downto 0);
77
        SIGNAL dxin :  std_logic_vector(7 downto 0);
78
        SIGNAL clock :  std_logic;
79
        SIGNAL yout :  std_logic_vector(7 downto 0);
80
        signal err: std_logic_vector(7 downto 0);
81
        signal adapt_en : std_logic;
82
        CONSTANT clk_high   : time := 10 ns;
83
        CONSTANT clk_low    : time := 10 ns;
84
        CONSTANT clk_period : time := 20 ns;
85
        CONSTANT clk_hold   : time := 4 ns;
86
 
87
 
88
 
89
          TYPE filter_data IS ARRAY (0 TO 999) OF std_logic_vector(7 downto 0);
90
          TYPE filter_out_table IS ARRAY (0 TO 999)OF std_logic_vector(7 downto 0);
91
 
92
          -- Constants
93
          CONSTANT filter_dx_in : filter_data :=
94
            (
95
 
96
"01000000",
97
"11000000",
98
"01000000",
99
"11000000",
100
"01000000",
101
"11000000",
102
"01000000",
103
"11000000",
104
"01000000",
105
"11000000",
106
"01000000",
107
"11000000",
108
"01000000",
109
"11000000",
110
"01000000",
111
"11000000",
112
"01000000",
113
"11000000",
114
"01000000",
115
"11000000",
116
"01000000",
117
"11000000",
118
"01000000",
119
"11000000",
120
"01000000",
121
"11000000",
122
"01000000",
123
"11000000",
124
"01000000",
125
"11000000",
126
"01000000",
127
"11000000",
128
"01000000",
129
"11000000",
130
"01000000",
131
"11000000",
132
"01000000",
133
"11000000",
134
"01000000",
135
"11000000",
136
"01000000",
137
"11000000",
138
"01000000",
139
"11000000",
140
"01000000",
141
"11000000",
142
"01000000",
143
"11000000",
144
"01000000",
145
"11000000",
146
"01000000",
147
"11000000",
148
"01000000",
149
"11000000",
150
"01000000",
151
"11000000",
152
"01000000",
153
"11000000",
154
"01000000",
155
"11000000",
156
"01000000",
157
"11000000",
158
"01000000",
159
"11000000",
160
"01000000",
161
"11000000",
162
"01000000",
163
"11000000",
164
"01000000",
165
"11000000",
166
"01000000",
167
"11000000",
168
"01000000",
169
"11000000",
170
"01000000",
171
"11000000",
172
"01000000",
173
"11000000",
174
"01000000",
175
"11000000",
176
"01000000",
177
"11000000",
178
"01000000",
179
"11000000",
180
"01000000",
181
"11000000",
182
"01000000",
183
"11000000",
184
"01000000",
185
"11000000",
186
"01000000",
187
"11000000",
188
"01000000",
189
"11000000",
190
"01000000",
191
"11000000",
192
"01000000",
193
"11000000",
194
"01000000",
195
"11000000",
196
"01000000",
197
"11000000",
198
"01000000",
199
"11000000",
200
"01000000",
201
"11000000",
202
"01000000",
203
"11000000",
204
"01000000",
205
"11000000",
206
"01000000",
207
"11000000",
208
"01000000",
209
"11000000",
210
"01000000",
211
"11000000",
212
"01000000",
213
"11000000",
214
"01000000",
215
"11000000",
216
"01000000",
217
"11000000",
218
"01000000",
219
"11000000",
220
"01000000",
221
"11000000",
222
"01000000",
223
"11000000",
224
"01000000",
225
"11000000",
226
"01000000",
227
"11000000",
228
"01000000",
229
"11000000",
230
"01000000",
231
"11000000",
232
"01000000",
233
"11000000",
234
"01000000",
235
"11000000",
236
"01000000",
237
"11000000",
238
"01000000",
239
"11000000",
240
"01000000",
241
"11000000",
242
"01000000",
243
"11000000",
244
"01000000",
245
"11000000",
246
"01000000",
247
"11000000",
248
"01000000",
249
"11000000",
250
"01000000",
251
"11000000",
252
"01000000",
253
"11000000",
254
"01000000",
255
"11000000",
256
"01000000",
257
"11000000",
258
"01000000",
259
"11000000",
260
"01000000",
261
"11000000",
262
"01000000",
263
"11000000",
264
"01000000",
265
"11000000",
266
"01000000",
267
"11000000",
268
"01000000",
269
"11000000",
270
"01000000",
271
"11000000",
272
"01000000",
273
"11000000",
274
"01000000",
275
"11000000",
276
"01000000",
277
"11000000",
278
"01000000",
279
"11000000",
280
"01000000",
281
"11000000",
282
"01000000",
283
"11000000",
284
"01000000",
285
"11000000",
286
"01000000",
287
"11000000",
288
"01000000",
289
"11000000",
290
"01000000",
291
"11000000",
292
"01000000",
293
"11000000",
294
"01000000",
295
"11000000",
296
"01000000",
297
"11000000",
298
"01000000",
299
"11000000",
300
"01000000",
301
"11000000",
302
"01000000",
303
"11000000",
304
"01000000",
305
"11000000",
306
"01000000",
307
"11000000",
308
"01000000",
309
"11000000",
310
"01000000",
311
"11000000",
312
"01000000",
313
"11000000",
314
"01000000",
315
"11000000",
316
"01000000",
317
"11000000",
318
"01000000",
319
"11000000",
320
"01000000",
321
"11000000",
322
"01000000",
323
"11000000",
324
"01000000",
325
"11000000",
326
"01000000",
327
"11000000",
328
"01000000",
329
"11000000",
330
"01000000",
331
"11000000",
332
"01000000",
333
"11000000",
334
"01000000",
335
"11000000",
336
"01000000",
337
"11000000",
338
"01000000",
339
"11000000",
340
"01000000",
341
"11000000",
342
"01000000",
343
"11000000",
344
"01000000",
345
"11000000",
346
"01000000",
347
"11000000",
348
"01000000",
349
"11000000",
350
"01000000",
351
"11000000",
352
"01000000",
353
"11000000",
354
"01000000",
355
"11000000",
356
"01000000",
357
"11000000",
358
"01000000",
359
"11000000",
360
"01000000",
361
"11000000",
362
"01000000",
363
"11000000",
364
"01000000",
365
"11000000",
366
"01000000",
367
"11000000",
368
"01000000",
369
"11000000",
370
"01000000",
371
"11000000",
372
"01000000",
373
"11000000",
374
"01000000",
375
"11000000",
376
"01000000",
377
"11000000",
378
"01000000",
379
"11000000",
380
"01000000",
381
"11000000",
382
"01000000",
383
"11000000",
384
"01000000",
385
"11000000",
386
"01000000",
387
"11000000",
388
"01000000",
389
"11000000",
390
"01000000",
391
"11000000",
392
"01000000",
393
"11000000",
394
"01000000",
395
"11000000",
396
"01000000",
397
"11000000",
398
"01000000",
399
"11000000",
400
"01000000",
401
"11000000",
402
"01000000",
403
"11000000",
404
"01000000",
405
"11000000",
406
"01000000",
407
"11000000",
408
"01000000",
409
"11000000",
410
"01000000",
411
"11000000",
412
"01000000",
413
"11000000",
414
"01000000",
415
"11000000",
416
"01000000",
417
"11000000",
418
"01000000",
419
"11000000",
420
"01000000",
421
"11000000",
422
"01000000",
423
"11000000",
424
"01000000",
425
"11000000",
426
"01000000",
427
"11000000",
428
"01000000",
429
"11000000",
430
"01000000",
431
"11000000",
432
"01000000",
433
"11000000",
434
"01000000",
435
"11000000",
436
"01000000",
437
"11000000",
438
"01000000",
439
"11000000",
440
"01000000",
441
"11000000",
442
"01000000",
443
"11000000",
444
"01000000",
445
"11000000",
446
"01000000",
447
"11000000",
448
"01000000",
449
"11000000",
450
"01000000",
451
"11000000",
452
"01000000",
453
"11000000",
454
"01000000",
455
"11000000",
456
"01000000",
457
"11000000",
458
"01000000",
459
"11000000",
460
"01000000",
461
"11000000",
462
"01000000",
463
"11000000",
464
"01000000",
465
"11000000",
466
"01000000",
467
"11000000",
468
"01000000",
469
"11000000",
470
"01000000",
471
"11000000",
472
"01000000",
473
"11000000",
474
"01000000",
475
"11000000",
476
"01000000",
477
"11000000",
478
"01000000",
479
"11000000",
480
"01000000",
481
"11000000",
482
"01000000",
483
"11000000",
484
"01000000",
485
"11000000",
486
"01000000",
487
"11000000",
488
"01000000",
489
"11000000",
490
"01000000",
491
"11000000",
492
"01000000",
493
"11000000",
494
"01000000",
495
"11000000",
496
"01000000",
497
"11000000",
498
"01000000",
499
"11000000",
500
"01000000",
501
"11000000",
502
"01000000",
503
"11000000",
504
"01000000",
505
"11000000",
506
"01000000",
507
"11000000",
508
"01000000",
509
"11000000",
510
"01000000",
511
"11000000",
512
"01000000",
513
"11000000",
514
"01000000",
515
"11000000",
516
"01000000",
517
"11000000",
518
"01000000",
519
"11000000",
520
"01000000",
521
"11000000",
522
"01000000",
523
"11000000",
524
"01000000",
525
"11000000",
526
"01000000",
527
"11000000",
528
"01000000",
529
"11000000",
530
"01000000",
531
"11000000",
532
"01000000",
533
"11000000",
534
"01000000",
535
"11000000",
536
"01000000",
537
"11000000",
538
"01000000",
539
"11000000",
540
"01000000",
541
"11000000",
542
"01000000",
543
"11000000",
544
"01000000",
545
"11000000",
546
"01000000",
547
"11000000",
548
"01000000",
549
"11000000",
550
"01000000",
551
"11000000",
552
"01000000",
553
"11000000",
554
"01000000",
555
"11000000",
556
"01000000",
557
"11000000",
558
"01000000",
559
"11000000",
560
"01000000",
561
"11000000",
562
"01000000",
563
"11000000",
564
"01000000",
565
"11000000",
566
"01000000",
567
"11000000",
568
"01000000",
569
"11000000",
570
"01000000",
571
"11000000",
572
"01000000",
573
"11000000",
574
"01000000",
575
"11000000",
576
"01000000",
577
"11000000",
578
"01000000",
579
"11000000",
580
"01000000",
581
"11000000",
582
"01000000",
583
"11000000",
584
"01000000",
585
"11000000",
586
"01000000",
587
"11000000",
588
"01000000",
589
"11000000",
590
"01000000",
591
"11000000",
592
"01000000",
593
"11000000",
594
"01000000",
595
"11000000",
596
"01000000",
597
"11000000",
598
"01000000",
599
"11000000",
600
"01000000",
601
"11000000",
602
"01000000",
603
"11000000",
604
"01000000",
605
"11000000",
606
"01000000",
607
"11000000",
608
"01000000",
609
"11000000",
610
"01000000",
611
"11000000",
612
"01000000",
613
"11000000",
614
"01000000",
615
"11000000",
616
"01000000",
617
"11000000",
618
"01000000",
619
"11000000",
620
"01000000",
621
"11000000",
622
"01000000",
623
"11000000",
624
"01000000",
625
"11000000",
626
"01000000",
627
"11000000",
628
"01000000",
629
"11000000",
630
"01000000",
631
"11000000",
632
"01000000",
633
"11000000",
634
"01000000",
635
"11000000",
636
"01000000",
637
"11000000",
638
"01000000",
639
"11000000",
640
"01000000",
641
"11000000",
642
"01000000",
643
"11000000",
644
"01000000",
645
"11000000",
646
"01000000",
647
"11000000",
648
"01000000",
649
"11000000",
650
"01000000",
651
"11000000",
652
"01000000",
653
"11000000",
654
"01000000",
655
"11000000",
656
"01000000",
657
"11000000",
658
"01000000",
659
"11000000",
660
"01000000",
661
"11000000",
662
"01000000",
663
"11000000",
664
"01000000",
665
"11000000",
666
"01000000",
667
"11000000",
668
"01000000",
669
"11000000",
670
"01000000",
671
"11000000",
672
"01000000",
673
"11000000",
674
"01000000",
675
"11000000",
676
"01000000",
677
"11000000",
678
"01000000",
679
"11000000",
680
"01000000",
681
"11000000",
682
"01000000",
683
"11000000",
684
"01000000",
685
"11000000",
686
"01000000",
687
"11000000",
688
"01000000",
689
"11000000",
690
"01000000",
691
"11000000",
692
"01000000",
693
"11000000",
694
"01000000",
695
"11000000",
696
"01000000",
697
"11000000",
698
"01000000",
699
"11000000",
700
"01000000",
701
"11000000",
702
"01000000",
703
"11000000",
704
"01000000",
705
"11000000",
706
"01000000",
707
"11000000",
708
"01000000",
709
"11000000",
710
"01000000",
711
"11000000",
712
"01000000",
713
"11000000",
714
"01000000",
715
"11000000",
716
"01000000",
717
"11000000",
718
"01000000",
719
"11000000",
720
"01000000",
721
"11000000",
722
"01000000",
723
"11000000",
724
"01000000",
725
"11000000",
726
"01000000",
727
"11000000",
728
"01000000",
729
"11000000",
730
"01000000",
731
"11000000",
732
"01000000",
733
"11000000",
734
"01000000",
735
"11000000",
736
"01000000",
737
"11000000",
738
"01000000",
739
"11000000",
740
"01000000",
741
"11000000",
742
"01000000",
743
"11000000",
744
"01000000",
745
"11000000",
746
"01000000",
747
"11000000",
748
"01000000",
749
"11000000",
750
"01000000",
751
"11000000",
752
"01000000",
753
"11000000",
754
"01000000",
755
"11000000",
756
"01000000",
757
"11000000",
758
"01000000",
759
"11000000",
760
"01000000",
761
"11000000",
762
"01000000",
763
"11000000",
764
"01000000",
765
"11000000",
766
"01000000",
767
"11000000",
768
"01000000",
769
"11000000",
770
"01000000",
771
"11000000",
772
"01000000",
773
"11000000",
774
"01000000",
775
"11000000",
776
"01000000",
777
"11000000",
778
"01000000",
779
"11000000",
780
"01000000",
781
"11000000",
782
"01000000",
783
"11000000",
784
"01000000",
785
"11000000",
786
"01000000",
787
"11000000",
788
"01000000",
789
"11000000",
790
"01000000",
791
"11000000",
792
"01000000",
793
"11000000",
794
"01000000",
795
"11000000",
796
"01000000",
797
"11000000",
798
"01000000",
799
"11000000",
800
"01000000",
801
"11000000",
802
"01000000",
803
"11000000",
804
"01000000",
805
"11000000",
806
"01000000",
807
"11000000",
808
"01000000",
809
"11000000",
810
"01000000",
811
"11000000",
812
"01000000",
813
"11000000",
814
"01000000",
815
"11000000",
816
"01000000",
817
"11000000",
818
"01000000",
819
"11000000",
820
"01000000",
821
"11000000",
822
"01000000",
823
"11000000",
824
"01000000",
825
"11000000",
826
"01000000",
827
"11000000",
828
"01000000",
829
"11000000",
830
"01000000",
831
"11000000",
832
"01000000",
833
"11000000",
834
"01000000",
835
"11000000",
836
"01000000",
837
"11000000",
838
"01000000",
839
"11000000",
840
"01000000",
841
"11000000",
842
"01000000",
843
"11000000",
844
"01000000",
845
"11000000",
846
"01000000",
847
"11000000",
848
"01000000",
849
"11000000",
850
"01000000",
851
"11000000",
852
"01000000",
853
"11000000",
854
"01000000",
855
"11000000",
856
"01000000",
857
"11000000",
858
"01000000",
859
"11000000",
860
"01000000",
861
"11000000",
862
"01000000",
863
"11000000",
864
"01000000",
865
"11000000",
866
"01000000",
867
"11000000",
868
"01000000",
869
"11000000",
870
"01000000",
871
"11000000",
872
"01000000",
873
"11000000",
874
"01000000",
875
"11000000",
876
"01000000",
877
"11000000",
878
"01000000",
879
"11000000",
880
"01000000",
881
"11000000",
882
"01000000",
883
"11000000",
884
"01000000",
885
"11000000",
886
"01000000",
887
"11000000",
888
"01000000",
889
"11000000",
890
"01000000",
891
"11000000",
892
"01000000",
893
"11000000",
894
"01000000",
895
"11000000",
896
"01000000",
897
"11000000",
898
"01000000",
899
"11000000",
900
"01000000",
901
"11000000",
902
"01000000",
903
"11000000",
904
"01000000",
905
"11000000",
906
"01000000",
907
"11000000",
908
"01000000",
909
"11000000",
910
"01000000",
911
"11000000",
912
"01000000",
913
"11000000",
914
"01000000",
915
"11000000",
916
"01000000",
917
"11000000",
918
"01000000",
919
"11000000",
920
"01000000",
921
"11000000",
922
"01000000",
923
"11000000",
924
"01000000",
925
"11000000",
926
"01000000",
927
"11000000",
928
"01000000",
929
"11000000",
930
"01000000",
931
"11000000",
932
"01000000",
933
"11000000",
934
"01000000",
935
"11000000",
936
"01000000",
937
"11000000",
938
"01000000",
939
"11000000",
940
"01000000",
941
"11000000",
942
"01000000",
943
"11000000",
944
"01000000",
945
"11000000",
946
"01000000",
947
"11000000",
948
"01000000",
949
"11000000",
950
"01000000",
951
"11000000",
952
"01000000",
953
"11000000",
954
"01000000",
955
"11000000",
956
"01000000",
957
"11000000",
958
"01000000",
959
"11000000",
960
"01000000",
961
"11000000",
962
"01000000",
963
"11000000",
964
"01000000",
965
"11000000",
966
"01000000",
967
"11000000",
968
"01000000",
969
"11000000",
970
"01000000",
971
"11000000",
972
"01000000",
973
"11000000",
974
"01000000",
975
"11000000",
976
"01000000",
977
"11000000",
978
"01000000",
979
"11000000",
980
"01000000",
981
"11000000",
982
"01000000",
983
"11000000",
984
"01000000",
985
"11000000",
986
"01000000",
987
"11000000",
988
"01000000",
989
"11000000",
990
"01000000",
991
"11000000",
992
"01000000",
993
"11000000",
994
"01000000",
995
"11000000",
996
"01000000",
997
"11000000",
998
"01000000",
999
"11000000",
1000
"01000000",
1001
"11000000",
1002
"01000000",
1003
"11000000",
1004
"01000000",
1005
"11000000",
1006
"01000000",
1007
"11000000",
1008
"01000000",
1009
"11000000",
1010
"01000000",
1011
"11000000",
1012
"01000000",
1013
"11000000",
1014
"01000000",
1015
"11000000",
1016
"01000000",
1017
"11000000",
1018
"01000000",
1019
"11000000",
1020
"01000000",
1021
"11000000",
1022
"01000000",
1023
"11000000",
1024
"01000000",
1025
"11000000",
1026
"01000000",
1027
"11000000",
1028
"01000000",
1029
"11000000",
1030
"01000000",
1031
"11000000",
1032
"01000000",
1033
"11000000",
1034
"01000000",
1035
"11000000",
1036
"01000000",
1037
"11000000",
1038
"01000000",
1039
"11000000",
1040
"01000000",
1041
"11000000",
1042
"01000000",
1043
"11000000",
1044
"01000000",
1045
"11000000",
1046
"01000000",
1047
"11000000",
1048
"01000000",
1049
"11000000",
1050
"01000000",
1051
"11000000",
1052
"01000000",
1053
"11000000",
1054
"01000000",
1055
"11000000",
1056
"01000000",
1057
"11000000",
1058
"01000000",
1059
"11000000",
1060
"01000000",
1061
"11000000",
1062
"01000000",
1063
"11000000",
1064
"01000000",
1065
"11000000",
1066
"01000000",
1067
"11000000",
1068
"01000000",
1069
"11000000",
1070
"01000000",
1071
"11000000",
1072
"01000000",
1073
"11000000",
1074
"01000000",
1075
"11000000",
1076
"01000000",
1077
"11000000",
1078
"01000000",
1079
"11000000",
1080
"01000000",
1081
"11000000",
1082
"01000000",
1083
"11000000",
1084
"01000000",
1085
"11000000",
1086
"01000000",
1087
"11000000",
1088
"01000000",
1089
"11000000",
1090
"01000000",
1091
"11000000",
1092
"01000000",
1093
"11000000",
1094
"01000000",
1095
"11000000"
1096
 
1097
);
1098
          CONSTANT filter_x_in : filter_data :=
1099
            (
1100
 
1101
"11110011",
1102
"00100110",
1103
"10100110",
1104
"01011010",
1105
"10100110",
1106
"01011010",
1107
"10100110",
1108
"01011010",
1109
"10100110",
1110
"01011010",
1111
"10100110",
1112
"01011010",
1113
"10100110",
1114
"01011010",
1115
"10100110",
1116
"01011010",
1117
"10100110",
1118
"01011010",
1119
"10100110",
1120
"01011010",
1121
"10100110",
1122
"01011010",
1123
"10100110",
1124
"01011010",
1125
"10100110",
1126
"01011010",
1127
"10100110",
1128
"01011010",
1129
"10100110",
1130
"01011010",
1131
"10100110",
1132
"01011010",
1133
"10100110",
1134
"01011010",
1135
"10100110",
1136
"01011010",
1137
"10100110",
1138
"01011010",
1139
"10100110",
1140
"01011010",
1141
"10100110",
1142
"01011010",
1143
"10100110",
1144
"01011010",
1145
"10100110",
1146
"01011010",
1147
"10100110",
1148
"01011010",
1149
"10100110",
1150
"01011010",
1151
"10100110",
1152
"01011010",
1153
"10100110",
1154
"01011010",
1155
"10100110",
1156
"01011010",
1157
"10100110",
1158
"01011010",
1159
"10100110",
1160
"01011010",
1161
"10100110",
1162
"01011010",
1163
"10100110",
1164
"01011010",
1165
"10100110",
1166
"01011010",
1167
"10100110",
1168
"01011010",
1169
"10100110",
1170
"01011010",
1171
"10100110",
1172
"01011010",
1173
"10100110",
1174
"01011010",
1175
"10100110",
1176
"01011010",
1177
"10100110",
1178
"01011010",
1179
"10100110",
1180
"01011010",
1181
"10100110",
1182
"01011010",
1183
"10100110",
1184
"01011010",
1185
"10100110",
1186
"01011010",
1187
"10100110",
1188
"01011010",
1189
"10100110",
1190
"01011010",
1191
"10100110",
1192
"01011010",
1193
"10100110",
1194
"01011010",
1195
"10100110",
1196
"01011010",
1197
"10100110",
1198
"01011010",
1199
"10100110",
1200
"01011010",
1201
"10100110",
1202
"01011010",
1203
"10100110",
1204
"01011010",
1205
"10100110",
1206
"01011010",
1207
"10100110",
1208
"01011010",
1209
"10100110",
1210
"01011010",
1211
"10100110",
1212
"01011010",
1213
"10100110",
1214
"01011010",
1215
"10100110",
1216
"01011010",
1217
"10100110",
1218
"01011010",
1219
"10100110",
1220
"01011010",
1221
"10100110",
1222
"01011010",
1223
"10100110",
1224
"01011010",
1225
"10100110",
1226
"01011010",
1227
"10100110",
1228
"01011010",
1229
"10100110",
1230
"01011010",
1231
"10100110",
1232
"01011010",
1233
"10100110",
1234
"01011010",
1235
"10100110",
1236
"01011010",
1237
"10100110",
1238
"01011010",
1239
"10100110",
1240
"01011010",
1241
"10100110",
1242
"01011010",
1243
"10100110",
1244
"01011010",
1245
"10100110",
1246
"01011010",
1247
"10100110",
1248
"01011010",
1249
"10100110",
1250
"01011010",
1251
"10100110",
1252
"01011010",
1253
"10100110",
1254
"01011010",
1255
"10100110",
1256
"01011010",
1257
"10100110",
1258
"01011010",
1259
"10100110",
1260
"01011010",
1261
"10100110",
1262
"01011010",
1263
"10100110",
1264
"01011010",
1265
"10100110",
1266
"01011010",
1267
"10100110",
1268
"01011010",
1269
"10100110",
1270
"01011010",
1271
"10100110",
1272
"01011010",
1273
"10100110",
1274
"01011010",
1275
"10100110",
1276
"01011010",
1277
"10100110",
1278
"01011010",
1279
"10100110",
1280
"01011010",
1281
"10100110",
1282
"01011010",
1283
"10100110",
1284
"01011010",
1285
"10100110",
1286
"01011010",
1287
"10100110",
1288
"01011010",
1289
"10100110",
1290
"01011010",
1291
"10100110",
1292
"01011010",
1293
"10100110",
1294
"01011010",
1295
"10100110",
1296
"01011010",
1297
"10100110",
1298
"01011010",
1299
"10100110",
1300
"01011010",
1301
"10100110",
1302
"01011010",
1303
"10100110",
1304
"01011010",
1305
"10100110",
1306
"01011010",
1307
"10100110",
1308
"01011010",
1309
"10100110",
1310
"01011010",
1311
"10100110",
1312
"01011010",
1313
"10100110",
1314
"01011010",
1315
"10100110",
1316
"01011010",
1317
"10100110",
1318
"01011010",
1319
"10100110",
1320
"01011010",
1321
"10100110",
1322
"01011010",
1323
"10100110",
1324
"01011010",
1325
"10100110",
1326
"01011010",
1327
"10100110",
1328
"01011010",
1329
"10100110",
1330
"01011010",
1331
"10100110",
1332
"01011010",
1333
"10100110",
1334
"01011010",
1335
"10100110",
1336
"01011010",
1337
"10100110",
1338
"01011010",
1339
"10100110",
1340
"01011010",
1341
"10100110",
1342
"01011010",
1343
"10100110",
1344
"01011010",
1345
"10100110",
1346
"01011010",
1347
"10100110",
1348
"01011010",
1349
"10100110",
1350
"01011010",
1351
"10100110",
1352
"01011010",
1353
"10100110",
1354
"01011010",
1355
"10100110",
1356
"01011010",
1357
"10100110",
1358
"01011010",
1359
"10100110",
1360
"01011010",
1361
"10100110",
1362
"01011010",
1363
"10100110",
1364
"01011010",
1365
"10100110",
1366
"01011010",
1367
"10100110",
1368
"01011010",
1369
"10100110",
1370
"01011010",
1371
"10100110",
1372
"01011010",
1373
"10100110",
1374
"01011010",
1375
"10100110",
1376
"01011010",
1377
"10100110",
1378
"01011010",
1379
"10100110",
1380
"01011010",
1381
"10100110",
1382
"01011010",
1383
"10100110",
1384
"01011010",
1385
"10100110",
1386
"01011010",
1387
"10100110",
1388
"01011010",
1389
"10100110",
1390
"01011010",
1391
"10100110",
1392
"01011010",
1393
"10100110",
1394
"01011010",
1395
"10100110",
1396
"01011010",
1397
"10100110",
1398
"01011010",
1399
"10100110",
1400
"01011010",
1401
"10100110",
1402
"01011010",
1403
"10100110",
1404
"01011010",
1405
"10100110",
1406
"01011010",
1407
"10100110",
1408
"01011010",
1409
"10100110",
1410
"01011010",
1411
"10100110",
1412
"01011010",
1413
"10100110",
1414
"01011010",
1415
"10100110",
1416
"01011010",
1417
"10100110",
1418
"01011010",
1419
"10100110",
1420
"01011010",
1421
"10100110",
1422
"01011010",
1423
"10100110",
1424
"01011010",
1425
"10100110",
1426
"01011010",
1427
"10100110",
1428
"01011010",
1429
"10100110",
1430
"01011010",
1431
"10100110",
1432
"01011010",
1433
"10100110",
1434
"01011010",
1435
"10100110",
1436
"01011010",
1437
"10100110",
1438
"01011010",
1439
"10100110",
1440
"01011010",
1441
"10100110",
1442
"01011010",
1443
"10100110",
1444
"01011010",
1445
"10100110",
1446
"01011010",
1447
"10100110",
1448
"01011010",
1449
"10100110",
1450
"01011010",
1451
"10100110",
1452
"01011010",
1453
"10100110",
1454
"01011010",
1455
"10100110",
1456
"01011010",
1457
"10100110",
1458
"01011010",
1459
"10100110",
1460
"01011010",
1461
"10100110",
1462
"01011010",
1463
"10100110",
1464
"01011010",
1465
"10100110",
1466
"01011010",
1467
"10100110",
1468
"01011010",
1469
"10100110",
1470
"01011010",
1471
"10100110",
1472
"01011010",
1473
"10100110",
1474
"01011010",
1475
"10100110",
1476
"01011010",
1477
"10100110",
1478
"01011010",
1479
"10100110",
1480
"01011010",
1481
"10100110",
1482
"01011010",
1483
"10100110",
1484
"01011010",
1485
"10100110",
1486
"01011010",
1487
"10100110",
1488
"01011010",
1489
"10100110",
1490
"01011010",
1491
"10100110",
1492
"01011010",
1493
"10100110",
1494
"01011010",
1495
"10100110",
1496
"01011010",
1497
"10100110",
1498
"01011010",
1499
"10100110",
1500
"01011010",
1501
"10100110",
1502
"01011010",
1503
"10100110",
1504
"01011010",
1505
"10100110",
1506
"01011010",
1507
"10100110",
1508
"01011010",
1509
"10100110",
1510
"01011010",
1511
"10100110",
1512
"01011010",
1513
"10100110",
1514
"01011010",
1515
"10100110",
1516
"01011010",
1517
"10100110",
1518
"01011010",
1519
"10100110",
1520
"01011010",
1521
"10100110",
1522
"01011010",
1523
"10100110",
1524
"01011010",
1525
"10100110",
1526
"01011010",
1527
"10100110",
1528
"01011010",
1529
"10100110",
1530
"01011010",
1531
"10100110",
1532
"01011010",
1533
"10100110",
1534
"01011010",
1535
"10100110",
1536
"01011010",
1537
"10100110",
1538
"01011010",
1539
"10100110",
1540
"01011010",
1541
"10100110",
1542
"01011010",
1543
"10100110",
1544
"01011010",
1545
"10100110",
1546
"01011010",
1547
"10100110",
1548
"01011010",
1549
"10100110",
1550
"01011010",
1551
"10100110",
1552
"01011010",
1553
"10100110",
1554
"01011010",
1555
"10100110",
1556
"01011010",
1557
"10100110",
1558
"01011010",
1559
"10100110",
1560
"01011010",
1561
"10100110",
1562
"01011010",
1563
"10100110",
1564
"01011010",
1565
"10100110",
1566
"01011010",
1567
"10100110",
1568
"01011010",
1569
"10100110",
1570
"01011010",
1571
"10100110",
1572
"01011010",
1573
"10100110",
1574
"01011010",
1575
"10100110",
1576
"01011010",
1577
"10100110",
1578
"01011010",
1579
"10100110",
1580
"01011010",
1581
"10100110",
1582
"01011010",
1583
"10100110",
1584
"01011010",
1585
"10100110",
1586
"01011010",
1587
"10100110",
1588
"01011010",
1589
"10100110",
1590
"01011010",
1591
"10100110",
1592
"01011010",
1593
"10100110",
1594
"01011010",
1595
"10100110",
1596
"01011010",
1597
"10100110",
1598
"01011010",
1599
"10100110",
1600
"01011010",
1601
"10100110",
1602
"01011010",
1603
"10100110",
1604
"01011010",
1605
"10100110",
1606
"01011010",
1607
"10100110",
1608
"01011010",
1609
"10100110",
1610
"01011010",
1611
"10100110",
1612
"01011010",
1613
"10100110",
1614
"01011010",
1615
"10100110",
1616
"01011010",
1617
"10100110",
1618
"01011010",
1619
"10100110",
1620
"01011010",
1621
"10100110",
1622
"01011010",
1623
"10100110",
1624
"01011010",
1625
"10100110",
1626
"01011010",
1627
"10100110",
1628
"01011010",
1629
"10100110",
1630
"01011010",
1631
"10100110",
1632
"01011010",
1633
"10100110",
1634
"01011010",
1635
"10100110",
1636
"01011010",
1637
"10100110",
1638
"01011010",
1639
"10100110",
1640
"01011010",
1641
"10100110",
1642
"01011010",
1643
"10100110",
1644
"01011010",
1645
"10100110",
1646
"01011010",
1647
"10100110",
1648
"01011010",
1649
"10100110",
1650
"01011010",
1651
"10100110",
1652
"01011010",
1653
"10100110",
1654
"01011010",
1655
"10100110",
1656
"01011010",
1657
"10100110",
1658
"01011010",
1659
"10100110",
1660
"01011010",
1661
"10100110",
1662
"01011010",
1663
"10100110",
1664
"01011010",
1665
"10100110",
1666
"01011010",
1667
"10100110",
1668
"01011010",
1669
"10100110",
1670
"01011010",
1671
"10100110",
1672
"01011010",
1673
"10100110",
1674
"01011010",
1675
"10100110",
1676
"01011010",
1677
"10100110",
1678
"01011010",
1679
"10100110",
1680
"01011010",
1681
"10100110",
1682
"01011010",
1683
"10100110",
1684
"01011010",
1685
"10100110",
1686
"01011010",
1687
"10100110",
1688
"01011010",
1689
"10100110",
1690
"01011010",
1691
"10100110",
1692
"01011010",
1693
"10100110",
1694
"01011010",
1695
"10100110",
1696
"01011010",
1697
"10100110",
1698
"01011010",
1699
"10100110",
1700
"01011010",
1701
"10100110",
1702
"01011010",
1703
"10100110",
1704
"01011010",
1705
"10100110",
1706
"01011010",
1707
"10100110",
1708
"01011010",
1709
"10100110",
1710
"01011010",
1711
"10100110",
1712
"01011010",
1713
"10100110",
1714
"01011010",
1715
"10100110",
1716
"01011010",
1717
"10100110",
1718
"01011010",
1719
"10100110",
1720
"01011010",
1721
"10100110",
1722
"01011010",
1723
"10100110",
1724
"01011010",
1725
"10100110",
1726
"01011010",
1727
"10100110",
1728
"01011010",
1729
"10100110",
1730
"01011010",
1731
"10100110",
1732
"01011010",
1733
"10100110",
1734
"01011010",
1735
"10100110",
1736
"01011010",
1737
"10100110",
1738
"01011010",
1739
"10100110",
1740
"01011010",
1741
"10100110",
1742
"01011010",
1743
"10100110",
1744
"01011010",
1745
"10100110",
1746
"01011010",
1747
"10100110",
1748
"01011010",
1749
"10100110",
1750
"01011010",
1751
"10100110",
1752
"01011010",
1753
"10100110",
1754
"01011010",
1755
"10100110",
1756
"01011010",
1757
"10100110",
1758
"01011010",
1759
"10100110",
1760
"01011010",
1761
"10100110",
1762
"01011010",
1763
"10100110",
1764
"01011010",
1765
"10100110",
1766
"01011010",
1767
"10100110",
1768
"01011010",
1769
"10100110",
1770
"01011010",
1771
"10100110",
1772
"01011010",
1773
"10100110",
1774
"01011010",
1775
"10100110",
1776
"01011010",
1777
"10100110",
1778
"01011010",
1779
"10100110",
1780
"01011010",
1781
"10100110",
1782
"01011010",
1783
"10100110",
1784
"01011010",
1785
"10100110",
1786
"01011010",
1787
"10100110",
1788
"01011010",
1789
"10100110",
1790
"01011010",
1791
"10100110",
1792
"01011010",
1793
"10100110",
1794
"01011010",
1795
"10100110",
1796
"01011010",
1797
"10100110",
1798
"01011010",
1799
"10100110",
1800
"01011010",
1801
"10100110",
1802
"01011010",
1803
"10100110",
1804
"01011010",
1805
"10100110",
1806
"01011010",
1807
"10100110",
1808
"01011010",
1809
"10100110",
1810
"01011010",
1811
"10100110",
1812
"01011010",
1813
"10100110",
1814
"01011010",
1815
"10100110",
1816
"01011010",
1817
"10100110",
1818
"01011010",
1819
"10100110",
1820
"01011010",
1821
"10100110",
1822
"01011010",
1823
"10100110",
1824
"01011010",
1825
"10100110",
1826
"01011010",
1827
"10100110",
1828
"01011010",
1829
"10100110",
1830
"01011010",
1831
"10100110",
1832
"01011010",
1833
"10100110",
1834
"01011010",
1835
"10100110",
1836
"01011010",
1837
"10100110",
1838
"01011010",
1839
"10100110",
1840
"01011010",
1841
"10100110",
1842
"01011010",
1843
"10100110",
1844
"01011010",
1845
"10100110",
1846
"01011010",
1847
"10100110",
1848
"01011010",
1849
"10100110",
1850
"01011010",
1851
"10100110",
1852
"01011010",
1853
"10100110",
1854
"01011010",
1855
"10100110",
1856
"01011010",
1857
"10100110",
1858
"01011010",
1859
"10100110",
1860
"01011010",
1861
"10100110",
1862
"01011010",
1863
"10100110",
1864
"01011010",
1865
"10100110",
1866
"01011010",
1867
"10100110",
1868
"01011010",
1869
"10100110",
1870
"01011010",
1871
"10100110",
1872
"01011010",
1873
"10100110",
1874
"01011010",
1875
"10100110",
1876
"01011010",
1877
"10100110",
1878
"01011010",
1879
"10100110",
1880
"01011010",
1881
"10100110",
1882
"01011010",
1883
"10100110",
1884
"01011010",
1885
"10100110",
1886
"01011010",
1887
"10100110",
1888
"01011010",
1889
"10100110",
1890
"01011010",
1891
"10100110",
1892
"01011010",
1893
"10100110",
1894
"01011010",
1895
"10100110",
1896
"01011010",
1897
"10100110",
1898
"01011010",
1899
"10100110",
1900
"01011010",
1901
"10100110",
1902
"01011010",
1903
"10100110",
1904
"01011010",
1905
"10100110",
1906
"01011010",
1907
"10100110",
1908
"01011010",
1909
"10100110",
1910
"01011010",
1911
"10100110",
1912
"01011010",
1913
"10100110",
1914
"01011010",
1915
"10100110",
1916
"01011010",
1917
"10100110",
1918
"01011010",
1919
"10100110",
1920
"01011010",
1921
"10100110",
1922
"01011010",
1923
"10100110",
1924
"01011010",
1925
"10100110",
1926
"01011010",
1927
"10100110",
1928
"01011010",
1929
"10100110",
1930
"01011010",
1931
"10100110",
1932
"01011010",
1933
"10100110",
1934
"01011010",
1935
"10100110",
1936
"01011010",
1937
"10100110",
1938
"01011010",
1939
"10100110",
1940
"01011010",
1941
"10100110",
1942
"01011010",
1943
"10100110",
1944
"01011010",
1945
"10100110",
1946
"01011010",
1947
"10100110",
1948
"01011010",
1949
"10100110",
1950
"01011010",
1951
"10100110",
1952
"01011010",
1953
"10100110",
1954
"01011010",
1955
"10100110",
1956
"01011010",
1957
"10100110",
1958
"01011010",
1959
"10100110",
1960
"01011010",
1961
"10100110",
1962
"01011010",
1963
"10100110",
1964
"01011010",
1965
"10100110",
1966
"01011010",
1967
"10100110",
1968
"01011010",
1969
"10100110",
1970
"01011010",
1971
"10100110",
1972
"01011010",
1973
"10100110",
1974
"01011010",
1975
"10100110",
1976
"01011010",
1977
"10100110",
1978
"01011010",
1979
"10100110",
1980
"01011010",
1981
"10100110",
1982
"01011010",
1983
"10100110",
1984
"01011010",
1985
"10100110",
1986
"01011010",
1987
"10100110",
1988
"01011010",
1989
"10100110",
1990
"01011010",
1991
"10100110",
1992
"01011010",
1993
"10100110",
1994
"01011010",
1995
"10100110",
1996
"01011010",
1997
"10100110",
1998
"01011010",
1999
"10100110",
2000
"01011010",
2001
"10100110",
2002
"01011010",
2003
"10100110",
2004
"01011010",
2005
"10100110",
2006
"01011010",
2007
"10100110",
2008
"01011010",
2009
"10100110",
2010
"01011010",
2011
"10100110",
2012
"01011010",
2013
"10100110",
2014
"01011010",
2015
"10100110",
2016
"01011010",
2017
"10100110",
2018
"01011010",
2019
"10100110",
2020
"01011010",
2021
"10100110",
2022
"01011010",
2023
"10100110",
2024
"01011010",
2025
"10100110",
2026
"01011010",
2027
"10100110",
2028
"01011010",
2029
"10100110",
2030
"01011010",
2031
"10100110",
2032
"01011010",
2033
"10100110",
2034
"01011010",
2035
"10100110",
2036
"01011010",
2037
"10100110",
2038
"01011010",
2039
"10100110",
2040
"01011010",
2041
"10100110",
2042
"01011010",
2043
"10100110",
2044
"01011010",
2045
"10100110",
2046
"01011010",
2047
"10100110",
2048
"01011010",
2049
"10100110",
2050
"01011010",
2051
"10100110",
2052
"01011010",
2053
"10100110",
2054
"01011010",
2055
"10100110",
2056
"01011010",
2057
"10100110",
2058
"01011010",
2059
"10100110",
2060
"01011010",
2061
"10100110",
2062
"01011010",
2063
"10100110",
2064
"01011010",
2065
"10100110",
2066
"01011010",
2067
"10100110",
2068
"01011010",
2069
"10100110",
2070
"01011010",
2071
"10100110",
2072
"01011010",
2073
"10100110",
2074
"01011010",
2075
"10100110",
2076
"01011010",
2077
"10100110",
2078
"01011010",
2079
"10100110",
2080
"01011010",
2081
"10100110",
2082
"01011010",
2083
"10100110",
2084
"01011010",
2085
"10100110",
2086
"01011010",
2087
"10100110",
2088
"01011010",
2089
"10100110",
2090
"01011010",
2091
"10100110",
2092
"01011010",
2093
"10100110",
2094
"01011010",
2095
"10100110",
2096
"01011010",
2097
"10100110",
2098
"01011010",
2099
"10100110",
2100
"01011010"
2101
 
2102
);
2103
 
2104
 
2105
 
2106
BEGIN
2107
 
2108
        uut: tf_lms PORT MAP(
2109
                xin => xin,
2110
                dxin => dxin,
2111
                clock => clock,
2112
                err => err,
2113
        adapt_en => adapt_en,
2114
                yout => yout
2115
        );
2116
 
2117
 
2118
-- *** Test Bench - User Defined Section ***
2119
   clk_gen: PROCESS
2120
   BEGIN
2121
            clock <= '1';
2122
            WAIT FOR clk_high;
2123
            clock <= '0';
2124
            WAIT FOR clk_low;
2125
 
2126
   END PROCESS clk_gen;
2127
 
2128
   tb:
2129
   PROCESS
2130
                variable IN_x,IN_dx:std_logic_vector(7 downto 0);
2131
                VARIABLE TX_STR : String(1 to 512);
2132
                VARIABLE TX_LOC : LINE;
2133
                VARIABLE RX_LOC : LINE;
2134
 
2135
 
2136
   BEGIN
2137
 
2138
                adapt_en <= '1';
2139
 
2140
                FOR n IN 0 TO 500 LOOP
2141
--                      readline(F_XIN,xin);
2142
                        --xin <= IN_X;
2143
                        --Deallocate(RX_LOC);
2144
                        xin  <= filter_x_in(n);
2145
                        dxin <= filter_dx_in(n);
2146
                        wait for clk_period;
2147
                        write(TX_LOC,string'("'"));
2148
                        write(TX_LOC, yout);
2149
                        write(TX_LOC,string'("'"));
2150
                        writeline(results, TX_LOC);
2151
                        Deallocate(TX_LOC);
2152
                        write(TX_LOC,string'("'"));
2153
                        write(TX_LOC, err);
2154
                        write(TX_LOC,string'("'"));
2155
                        writeline(ERRF, TX_LOC);
2156
                        Deallocate(TX_LOC);
2157
                end loop;
2158
 
2159
        --      adapt_en <= '0';
2160
 
2161
                FOR n IN 501 TO 999 LOOP
2162
--                      readline(F_XIN,xin);
2163
                        --xin <= IN_X;
2164
                        --Deallocate(RX_LOC);
2165
                        xin  <= filter_x_in(n);
2166
                        dxin <= filter_dx_in(n);
2167
                        wait for clk_period;
2168
                        write(TX_LOC,string'("'"));
2169
                        write(TX_LOC, yout);
2170
                        write(TX_LOC,string'("'"));
2171
                        writeline(results, TX_LOC);
2172
                        Deallocate(TX_LOC);
2173
                        write(TX_LOC,string'("'"));
2174
                        write(TX_LOC, err);
2175
                        write(TX_LOC,string'("'"));
2176
                        writeline(ERRF, TX_LOC);
2177
                        Deallocate(TX_LOC);
2178
                end loop;
2179
 
2180
 
2181
   wait; -- will wait forever
2182
   END PROCESS;
2183
-- *** End Test Bench - User Defined Section ***
2184
 
2185
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.