OpenCores
URL https://opencores.org/ocsvn/adaptive_lms_equalizer/adaptive_lms_equalizer/trunk

Subversion Repositories adaptive_lms_equalizer

[/] [adaptive_lms_equalizer/] [trunk/] [code/] [data_gen.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 digish
--  Copyright (C) 2004-2005 Digish Pandya <digish.pandya@gmail.com>
2
 
3
--  This program is free software; you can redistribute it and/or modify
4
--  it under the terms of the GNU General Public License as published by
5
--  the Free Software Foundation; either version 2 of the License, or
6
--  (at your option) any later version.
7
--
8
--  This program is distributed in the hope that it will be useful,
9
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
10
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
11
--  GNU General Public License for more details.
12
--
13
--  You should have received a copy of the GNU General Public License
14
--  along with this program; if not, write to the Free Software
15
--  Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.
16
 
17
-- A.3 data_gen.vhd
18
-- datagenerator system for generating test data feed to lms filter
19
library IEEE;
20
use IEEE.STD_LOGIC_1164.ALL;
21
 
22
 
23
entity data_gen is
24
    Port ( clock : in std_logic;
25
           reset : in std_logic;
26
           xout : out std_logic_vector(7 downto 0);
27
           dxout : out std_logic_vector(7 downto 0));
28
end data_gen;
29
 
30
architecture structural of data_gen is
31
        -- training sequence generator
32
        component tr_seq_gen
33
            Port ( clock : in std_logic;
34
                   reset : in std_logic;
35
                   data_out : out std_logic_vector(7 downto 0)
36
                        );
37
        end component;
38
        -- channel filter
39
        component const_ch_filt
40
            Port (
41
                        clock : in std_logic;
42
                  data_in : in std_logic_vector(7 downto 0);
43
                  shifted_data_out : out std_logic_vector(7 downto 0);
44
                  filtered_data_out : out std_logic_vector(7 downto 0)
45
                     );
46
        end component;
47
 
48
        signal data : std_logic_vector (7 downto 0);
49
 
50
begin
51
                -- Test Data generator
52
                data_generator:
53
                process(clock,reset)
54
                begin
55
                        if(clock'event and clock = '1') then
56
                                if (data = "01000000") then
57
                                        data <= "11000000";
58
                                else
59
                                        data <= "01000000";
60
                                end if;
61
                        end if;
62
                        if(reset = '0') then
63
                                        data <= "01000000";
64
                        end if;
65
 
66
                end process;
67
                -- This Channel Filter will convolve our input Signal
68
             channel: const_ch_filt
69
                port map (
70
                                clock => clock,
71
                          data_in => data,
72
                          shifted_data_out => dxout,
73
                          filtered_data_out => xout
74
                                );
75
 
76
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.