OpenCores
URL https://opencores.org/ocsvn/adaptive_lms_equalizer/adaptive_lms_equalizer/trunk

Subversion Repositories adaptive_lms_equalizer

[/] [adaptive_lms_equalizer/] [trunk/] [code/] [shift_16d.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 digish
--  Copyright (C) 2004-2005 Digish Pandya <digish.pandya@gmail.com>
2
 
3
--  This program is free software; you can redistribute it and/or modify
4
--  it under the terms of the GNU General Public License as published by
5
--  the Free Software Foundation; either version 2 of the License, or
6
--  (at your option) any later version.
7
--
8
--  This program is distributed in the hope that it will be useful,
9
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
10
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
11
--  GNU General Public License for more details.
12
--
13
--  You should have received a copy of the GNU General Public License
14
--  along with this program; if not, write to the Free Software
15
--  Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.
16
 
17
-- delay of 21 and 1 unit
18
 
19
library IEEE;
20
use IEEE.STD_LOGIC_1164.ALL;
21
use IEEE.STD_LOGIC_ARITH.ALL;
22
use IEEE.STD_LOGIC_UNSIGNED.ALL;
23
 
24
 
25
entity shift_21d is
26
    Port ( xin : in std_logic_vector(7 downto 0);
27
           x_N_out : out std_logic_vector(7 downto 0);
28
                 x_1_out : out std_logic_vector(7 downto 0);
29
           clock : in std_logic);
30
end shift_21d;
31
 
32
architecture Behavioral of shift_21d is
33
signal shift_reg: std_logic_vector (167 downto 0);
34
--signal shift_reg: std_logic_vector (127 downto 0);
35
begin
36
 
37
   shift:
38
   process(clock)
39
   begin
40
        if(clock'event and clock = '1') then
41
 
42
                shift_reg <= xin & shift_reg(167 downto 8);
43
 
44
        end if;
45
  end process;
46
  x_N_out <= shift_reg(7 downto 0);
47
  x_1_out <= shift_reg(167 downto 160);
48
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.