OpenCores
URL https://opencores.org/ocsvn/adv_debug_sys/adv_debug_sys/trunk

Subversion Repositories adv_debug_sys

[/] [adv_debug_sys/] [trunk/] [Hardware/] [adv_dbg_if/] [bench/] [README_testbench.txt] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 nyawn
1README_testbench.txt
2
Advanced Debug Module (adv_dbg_if)
3
Nathan Yawn, nathan.yawn@opencores.org
4
 
5
Two testbenches are supplied with the advanced debug interface. The first
6
uses behavioral simulation of a wishbone bus with a memory attached, and
7
another behavioral simulatioin of an OR1200 CPU.  This testbench performs
8
and tests bus / memory operations, and performs a few CPU operations, The
9
top-level module is in adv_dbg_tb.v.  Other than the beavioral models, it
10
instantiates an adv_dbg_if (found in ../rtl/verilog/), and a JTAG TAP
11
("jtag" module, not included with this module).  Note that the TAP
12
distributed by OpenCores will  not work correctly; use the version modified
13
by Nathan Yawn.
14
 
15
The second testbench includes an actuall wishbone/OR1200 system. Its
16
top-level entity is xsv_fpga_top.  It instantiates a wb_conbus, an OR1200,
17
an onchipram, a jtag TAP, and a UART16550, along with an adv_dbg_if.  The
18
testbench is also instantiated here, and is used to drive the inputs to
19
the JTAG TAP.  This testbench is less polished, but includes a functional
20
test of the single-step capability of the CPU.
21
 
22
Both testbenches were written for use in  ModelSim (version 6.3).  A
23
wave.do file is also included for each testbench, which will display a
24
useful collectino of signals in the ModelSim wave view.
25
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.