OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [branches/] [AEMB2_712/] [rtl/] [verilog/] [aeMB_core.v] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 sybreon
/*
2 25 sybreon
 * $Id: aeMB_core.v,v 1.5 2007-04-27 00:23:55 sybreon Exp $
3 3 sybreon
 *
4 11 sybreon
 * AEMB 32-bit Microblaze Compatible Core
5 25 sybreon
 * Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6 3 sybreon
 *
7 25 sybreon
 * This library is free software; you can redistribute it and/or
8
 * modify it under the terms of the GNU Lesser General Public License
9
 * as published by the Free Software Foundation; either version 2.1 of
10
 * the License, or (at your option) any later version.
11 3 sybreon
 *
12 25 sybreon
 * This library is distributed in the hope that it will be useful, but
13
 * WITHOUT ANY WARRANTY; without even the implied warranty of
14
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
15
 * Lesser General Public License for more details.
16 3 sybreon
 *
17 25 sybreon
 * You should have received a copy of the GNU Lesser General Public
18
 * License along with this library; if not, write to the Free Software
19
 * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
20
 * USA
21 3 sybreon
 *
22
 * DESCRIPTION
23
 * Microblaze compatible, WISHBONE compliant hardware core. This core is
24
 * capable of executing software compile for EDK 2.1 using GCC. It has the
25
 * capability of handling interrupts as well as exceptions.
26
 *
27
 * HISTORY
28
 * $Log: not supported by cvs2svn $
29 25 sybreon
 * Revision 1.4  2007/04/25 22:15:04  sybreon
30
 * Added support for 8-bit and 16-bit data types.
31
 *
32 22 sybreon
 * Revision 1.3  2007/04/11 04:30:43  sybreon
33
 * Added pipeline stalling from incomplete bus cycles.
34
 * Separated sync and async portions of code.
35
 *
36 16 sybreon
 * Revision 1.2  2007/04/04 06:13:23  sybreon
37
 * Removed unused signals
38
 *
39 11 sybreon
 * Revision 1.1  2007/03/09 17:52:17  sybreon
40
 * initial import
41 3 sybreon
 *
42
 */
43
 
44
module aeMB_core (/*AUTOARG*/
45
   // Outputs
46 22 sybreon
   iwb_stb_o, iwb_adr_o, dwb_we_o, dwb_stb_o, dwb_sel_o, dwb_dat_o,
47
   dwb_adr_o,
48 3 sybreon
   // Inputs
49 16 sybreon
   sys_rst_i, sys_int_i, sys_exc_i, sys_clk_i, iwb_dat_i, iwb_ack_i,
50
   dwb_dat_i, dwb_ack_i
51 3 sybreon
   );
52
   // Instruction WB address space
53
   parameter ISIZ = 32;
54
   // Data WB address space
55
   parameter DSIZ = 32;
56
 
57
   /*AUTOOUTPUT*/
58
   // Beginning of automatic outputs (from unused autoinst outputs)
59
   output [DSIZ-1:0]     dwb_adr_o;              // From aslu of aeMB_aslu.v
60
   output [31:0] dwb_dat_o;              // From regfile of aeMB_regfile.v
61 22 sybreon
   output [3:0]          dwb_sel_o;              // From aslu of aeMB_aslu.v
62 3 sybreon
   output               dwb_stb_o;              // From decode of aeMB_decode.v
63
   output               dwb_we_o;               // From decode of aeMB_decode.v
64
   output [ISIZ-1:0]     iwb_adr_o;              // From fetch of aeMB_fetch.v
65 25 sybreon
   output               iwb_stb_o;              // From fetch of aeMB_fetch.v
66 3 sybreon
   // End of automatics
67
   /*AUTOINPUT*/
68
   // Beginning of automatic inputs (from unused autoinst inputs)
69
   input                dwb_ack_i;              // To control of aeMB_control.v
70 22 sybreon
   input [31:0]          dwb_dat_i;              // To regfile of aeMB_regfile.v
71 3 sybreon
   input                iwb_ack_i;              // To control of aeMB_control.v
72
   input [31:0]          iwb_dat_i;              // To fetch of aeMB_fetch.v, ...
73
   input                sys_clk_i;              // To control of aeMB_control.v
74
   input                sys_exc_i;              // To control of aeMB_control.v
75
   input                sys_int_i;              // To control of aeMB_control.v
76
   input                sys_rst_i;              // To control of aeMB_control.v
77
   // End of automatics
78
   /*AUTOWIRE*/
79
   // Beginning of automatic wires (for undeclared instantiated-module outputs)
80
   wire                 drun;                   // From control of aeMB_control.v
81
   wire                 frun;                   // From control of aeMB_control.v
82
   wire                 nclk;                   // From control of aeMB_control.v
83
   wire                 nrst;                   // From control of aeMB_control.v
84 16 sybreon
   wire                 nrun;                   // From control of aeMB_control.v
85 3 sybreon
   wire                 rBRA;                   // From decode of aeMB_decode.v
86
   wire                 rDLY;                   // From decode of aeMB_decode.v
87 22 sybreon
   wire [3:0]            rDWBSEL;                // From aslu of aeMB_aslu.v
88 3 sybreon
   wire                 rDWBSTB;                // From decode of aeMB_decode.v
89
   wire                 rDWBWE;                 // From decode of aeMB_decode.v
90
   wire [1:0]            rFSM;                   // From control of aeMB_control.v
91
   wire [15:0]           rIMM;                   // From decode of aeMB_decode.v
92 25 sybreon
   wire                 rIWBSTB;                // From fetch of aeMB_fetch.v
93 3 sybreon
   wire                 rLNK;                   // From decode of aeMB_decode.v
94
   wire [1:0]            rMXALU;                 // From decode of aeMB_decode.v
95 11 sybreon
   wire [1:0]            rMXLDST;                // From decode of aeMB_decode.v
96 3 sybreon
   wire [1:0]            rMXSRC;                 // From decode of aeMB_decode.v
97
   wire [1:0]            rMXTGT;                 // From decode of aeMB_decode.v
98
   wire [5:0]            rOPC;                   // From decode of aeMB_decode.v
99
   wire [31:0]           rPC;                    // From fetch of aeMB_fetch.v
100
   wire [4:0]            rRA;                    // From decode of aeMB_decode.v
101
   wire [4:0]            rRB;                    // From decode of aeMB_decode.v
102
   wire [4:0]            rRD;                    // From decode of aeMB_decode.v
103
   wire [31:0]           rREGA;                  // From regfile of aeMB_regfile.v
104
   wire [31:0]           rREGB;                  // From regfile of aeMB_regfile.v
105
   wire [31:0]           rRESULT;                // From aslu of aeMB_aslu.v
106
   wire                 rRWE;                   // From decode of aeMB_decode.v
107
   wire [31:0]           rSIMM;                  // From decode of aeMB_decode.v
108 22 sybreon
   wire [31:0]           sDWBDAT;                // From regfile of aeMB_regfile.v
109 3 sybreon
   // End of automatics
110
 
111 25 sybreon
   // INSTANTIATIONS /////////////////////////////////////////////////////////////////
112
 
113 3 sybreon
   aeMB_regfile #(DSIZ)
114
     regfile (/*AUTOINST*/
115
              // Outputs
116
              .dwb_dat_o                (dwb_dat_o[31:0]),
117
              .rREGA                    (rREGA[31:0]),
118
              .rREGB                    (rREGB[31:0]),
119 22 sybreon
              .sDWBDAT                  (sDWBDAT[31:0]),
120 3 sybreon
              // Inputs
121
              .dwb_dat_i                (dwb_dat_i[31:0]),
122
              .rDWBSTB                  (rDWBSTB),
123
              .rDWBWE                   (rDWBWE),
124
              .rRA                      (rRA[4:0]),
125
              .rRB                      (rRB[4:0]),
126
              .rRD                      (rRD[4:0]),
127
              .rRESULT                  (rRESULT[31:0]),
128
              .rFSM                     (rFSM[1:0]),
129
              .rPC                      (rPC[31:0]),
130 22 sybreon
              .rOPC                     (rOPC[5:0]),
131
              .rDWBSEL                  (rDWBSEL[3:0]),
132 3 sybreon
              .rLNK                     (rLNK),
133
              .rRWE                     (rRWE),
134
              .nclk                     (nclk),
135
              .nrst                     (nrst),
136
              .drun                     (drun),
137 16 sybreon
              .nrun                     (nrun));
138 3 sybreon
 
139
   aeMB_fetch #(ISIZ)
140
     fetch (/*AUTOINST*/
141
            // Outputs
142
            .iwb_adr_o                  (iwb_adr_o[ISIZ-1:0]),
143 25 sybreon
            .iwb_stb_o                  (iwb_stb_o),
144 3 sybreon
            .rPC                        (rPC[31:0]),
145 25 sybreon
            .rIWBSTB                    (rIWBSTB),
146 3 sybreon
            // Inputs
147
            .iwb_dat_i                  (iwb_dat_i[31:0]),
148
            .nclk                       (nclk),
149
            .nrst                       (nrst),
150 16 sybreon
            .nrun                       (nrun),
151 3 sybreon
            .rFSM                       (rFSM[1:0]),
152
            .rBRA                       (rBRA),
153
            .rRESULT                    (rRESULT[31:0]));
154
 
155
   aeMB_control
156
     control (/*AUTOINST*/
157
              // Outputs
158
              .rFSM                     (rFSM[1:0]),
159
              .nclk                     (nclk),
160
              .nrst                     (nrst),
161 16 sybreon
              .nrun                     (nrun),
162 3 sybreon
              .frun                     (frun),
163
              .drun                     (drun),
164
              // Inputs
165
              .sys_rst_i                (sys_rst_i),
166
              .sys_clk_i                (sys_clk_i),
167
              .sys_int_i                (sys_int_i),
168
              .sys_exc_i                (sys_exc_i),
169
              .rIWBSTB                  (rIWBSTB),
170
              .iwb_ack_i                (iwb_ack_i),
171
              .rDWBSTB                  (rDWBSTB),
172
              .dwb_ack_i                (dwb_ack_i),
173
              .rBRA                     (rBRA),
174
              .rDLY                     (rDLY));
175
 
176
   aeMB_aslu #(DSIZ)
177
     aslu (/*AUTOINST*/
178
           // Outputs
179
           .dwb_adr_o                   (dwb_adr_o[DSIZ-1:0]),
180 22 sybreon
           .dwb_sel_o                   (dwb_sel_o[3:0]),
181 3 sybreon
           .rRESULT                     (rRESULT[31:0]),
182 22 sybreon
           .rDWBSEL                     (rDWBSEL[3:0]),
183 3 sybreon
           // Inputs
184 22 sybreon
           .sDWBDAT                     (sDWBDAT[31:0]),
185 3 sybreon
           .rBRA                        (rBRA),
186
           .rDLY                        (rDLY),
187
           .rREGA                       (rREGA[31:0]),
188
           .rREGB                       (rREGB[31:0]),
189
           .rSIMM                       (rSIMM[31:0]),
190
           .rMXSRC                      (rMXSRC[1:0]),
191
           .rMXTGT                      (rMXTGT[1:0]),
192
           .rMXALU                      (rMXALU[1:0]),
193
           .rOPC                        (rOPC[5:0]),
194
           .rPC                         (rPC[31:0]),
195
           .rIMM                        (rIMM[15:0]),
196
           .rRD                         (rRD[4:0]),
197
           .rRA                         (rRA[4:0]),
198 11 sybreon
           .rMXLDST                     (rMXLDST[1:0]),
199 3 sybreon
           .nclk                        (nclk),
200
           .nrst                        (nrst),
201
           .drun                        (drun),
202 16 sybreon
           .nrun                        (nrun));
203 3 sybreon
 
204
   aeMB_decode
205
     decode (/*AUTOINST*/
206
             // Outputs
207
             .rSIMM                     (rSIMM[31:0]),
208
             .rMXALU                    (rMXALU[1:0]),
209
             .rMXSRC                    (rMXSRC[1:0]),
210
             .rMXTGT                    (rMXTGT[1:0]),
211
             .rRA                       (rRA[4:0]),
212
             .rRB                       (rRB[4:0]),
213
             .rRD                       (rRD[4:0]),
214
             .rOPC                      (rOPC[5:0]),
215
             .rIMM                      (rIMM[15:0]),
216
             .rDWBSTB                   (rDWBSTB),
217
             .rDWBWE                    (rDWBWE),
218
             .rDLY                      (rDLY),
219
             .rLNK                      (rLNK),
220
             .rBRA                      (rBRA),
221
             .rRWE                      (rRWE),
222 11 sybreon
             .rMXLDST                   (rMXLDST[1:0]),
223 3 sybreon
             .dwb_stb_o                 (dwb_stb_o),
224
             .dwb_we_o                  (dwb_we_o),
225
             // Inputs
226 22 sybreon
             .sDWBDAT                   (sDWBDAT[31:0]),
227
             .rDWBSEL                   (rDWBSEL[3:0]),
228 3 sybreon
             .rREGA                     (rREGA[31:0]),
229
             .rRESULT                   (rRESULT[31:0]),
230
             .iwb_dat_i                 (iwb_dat_i[31:0]),
231
             .nclk                      (nclk),
232
             .nrst                      (nrst),
233
             .drun                      (drun),
234
             .frun                      (frun),
235 16 sybreon
             .nrun                      (nrun));
236 3 sybreon
 
237
endmodule // aeMB_core

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.