OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [branches/] [AEMB2_712/] [rtl/] [verilog/] [aeMB_xecu.v] - Blame information for rev 61

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 61 sybreon
// $Id: aeMB_xecu.v,v 1.7 2007-11-14 22:14:34 sybreon Exp $
2 41 sybreon
//
3
// AEMB MAIN EXECUTION ALU
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7 55 sybreon
// This file is part of AEMB.
8 41 sybreon
//
9 55 sybreon
// AEMB is free software: you can redistribute it and/or modify it
10
// under the terms of the GNU Lesser General Public License as
11
// published by the Free Software Foundation, either version 3 of the
12
// License, or (at your option) any later version.
13
//
14
// AEMB is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
16
// or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
17
// Public License for more details.
18
//
19 41 sybreon
// You should have received a copy of the GNU Lesser General Public
20 55 sybreon
// License along with AEMB. If not, see <http://www.gnu.org/licenses/>.
21 41 sybreon
//
22
// $Log: not supported by cvs2svn $
23 61 sybreon
// Revision 1.6  2007/11/10 16:39:38  sybreon
24
// Upgraded license to LGPLv3.
25
// Significant performance optimisations.
26
//
27 55 sybreon
// Revision 1.5  2007/11/09 20:51:52  sybreon
28
// Added GET/PUT support through a FSL bus.
29
//
30 53 sybreon
// Revision 1.4  2007/11/08 14:17:47  sybreon
31
// Parameterised optional components.
32
//
33 50 sybreon
// Revision 1.3  2007/11/03 08:34:55  sybreon
34
// Minor code cleanup.
35
//
36 45 sybreon
// Revision 1.2  2007/11/02 19:20:58  sybreon
37
// Added better (beta) interrupt support.
38
// Changed MSR_IE to disabled at reset as per MB docs.
39
//
40 44 sybreon
// Revision 1.1  2007/11/02 03:25:41  sybreon
41
// New EDK 3.2 compatible design with optional barrel-shifter and multiplier.
42
// Fixed various minor data hazard bugs.
43
// Code compatible with -O0/1/2/3/s generated code.
44
//
45 41 sybreon
 
46
module aeMB_xecu (/*AUTOARG*/
47
   // Outputs
48 53 sybreon
   dwb_adr_o, dwb_sel_o, fsl_adr_o, rRESULT, rDWBSEL, rMSR_IE,
49
   rMSR_BIP,
50 41 sybreon
   // Inputs
51 61 sybreon
   rREGA, rREGB, rMXSRC, rMXTGT, rRA, rRB, rMXALU, rBRA, rDLY, rALT,
52
   rSIMM, rIMM, rOPC, rRD, rDWBDI, rPC, gclk, grst, gena
53 41 sybreon
   );
54
   parameter DW=32;
55 50 sybreon
 
56
   parameter MUL=0;
57
   parameter BSF=0;
58 41 sybreon
 
59
   // DATA WISHBONE
60
   output [DW-1:2] dwb_adr_o;
61
   output [3:0]    dwb_sel_o;
62 53 sybreon
 
63
   // FSL WISHBONE
64
   output [14:2]   fsl_adr_o;
65 41 sybreon
 
66
   // INTERNAL
67
   output [31:0]   rRESULT;
68
   output [3:0]    rDWBSEL;
69 44 sybreon
   output          rMSR_IE;
70
   output          rMSR_BIP;
71 61 sybreon
   //input [1:0]           rXCE;   
72 41 sybreon
   input [31:0]    rREGA, rREGB;
73
   input [1:0]      rMXSRC, rMXTGT;
74 53 sybreon
   input [4:0]      rRA, rRB;
75 41 sybreon
   input [2:0]      rMXALU;
76
   input           rBRA, rDLY;
77 50 sybreon
   input [10:0]    rALT;
78 45 sybreon
 
79 41 sybreon
   input [31:0]    rSIMM;
80
   input [15:0]    rIMM;
81
   input [5:0]      rOPC;
82
   input [4:0]      rRD;
83
   input [31:0]    rDWBDI;
84
   input [31:2]    rPC;
85 50 sybreon
   //input [31:0]    rRES_MUL; // External Multiplier
86
   //input [31:0]    rRES_BSF; // External Barrel Shifter
87 41 sybreon
 
88
   // SYSTEM
89
   input           gclk, grst, gena;
90
 
91
   reg             rMSR_C, xMSR_C;
92
   reg             rMSR_IE, xMSR_IE;
93 44 sybreon
   reg             rMSR_BE, xMSR_BE;
94
   reg             rMSR_BIP, xMSR_BIP;
95 41 sybreon
 
96 44 sybreon
   wire            fSKIP = rBRA & !rDLY;
97
 
98 41 sybreon
   // --- OPERAND SELECT
99
 
100
   reg [31:0]       rOPA, rOPB;
101
   always @(/*AUTOSENSE*/rDWBDI or rMXSRC or rPC or rREGA or rRESULT)
102
     case (rMXSRC)
103
       2'o0: rOPA <= rREGA;
104
       2'o1: rOPA <= rRESULT;
105
       2'o2: rOPA <= rDWBDI;
106
       2'o3: rOPA <= {rPC, 2'o0};
107
     endcase // case (rMXSRC)
108
 
109
   always @(/*AUTOSENSE*/rDWBDI or rMXTGT or rREGB or rRESULT or rSIMM)
110
     case (rMXTGT)
111
       2'o0: rOPB <= rREGB;
112
       2'o1: rOPB <= rRESULT;
113
       2'o2: rOPB <= rDWBDI;
114
       2'o3: rOPB <= rSIMM;
115
     endcase // case (rMXTGT)
116
 
117 44 sybreon
   // --- ADD/SUB SELECTOR ----
118 50 sybreon
   // FIXME: Redesign
119 44 sybreon
   // TODO: Refactor
120
   // TODO: Verify signed compare
121
 
122
   wire             wADDC, wSUBC, wRES_AC, wCMPC, wOPC;
123
   wire [31:0]       wADD, wSUB, wRES_A, wCMP, wOPX;
124
 
125
   wire             wCMPU = (rOPA > rOPB);
126
   wire             wCMPF = (rIMM[1]) ? wCMPU :
127
                            ((wCMPU & ~(rOPB[31] ^ rOPA[31])) | (rOPB[31] & ~rOPA[31]));
128
 
129
   assign           {wCMPC,wCMP} = {wSUBC,wCMPF,wSUB[30:0]};
130
   assign           wOPX = (rOPC[0] & !rOPC[5]) ? ~rOPA : rOPA ;
131
   assign           wOPC = ((rMSR_C & rOPC[1]) | (rOPC[0] & !rOPC[1])) & (!rOPC[5] & ~&rOPC[5:4]);
132
 
133
   assign           {wSUBC,wSUB} = {wADDC,wADD};
134
   assign           {wADDC,wADD} = (rOPB + wOPX) + wOPC;
135
 
136
   reg              rRES_ADDC;
137
   reg [31:0]        rRES_ADD;
138
   always @(rIMM or rOPC or wADD or wADDC or wCMP
139
            or wCMPC or wSUB or wSUBC)
140
     case ({rOPC[3],rOPC[0],rIMM[0]})
141
       4'h2, 4'h6, 4'h7: {rRES_ADDC,rRES_ADD} <= #1 {~wSUBC,wSUB}; // SUB
142
       4'h3: {rRES_ADDC,rRES_ADD} <= #1 {~wCMPC,wCMP}; // CMP
143
       default: {rRES_ADDC,rRES_ADD} <= #1 {wADDC,wADD};
144
     endcase // case ({rOPC[3],rOPC[0],rIMM[0]})
145
 
146 50 sybreon
   // --- LOGIC SELECTOR --------------------------------------
147 41 sybreon
 
148
   reg [31:0]        rRES_LOG;
149
   always @(/*AUTOSENSE*/rOPA or rOPB or rOPC)
150
     case (rOPC[1:0])
151
       2'o0: rRES_LOG <= #1 rOPA | rOPB;
152
       2'o1: rRES_LOG <= #1 rOPA & rOPB;
153
       2'o2: rRES_LOG <= #1 rOPA ^ rOPB;
154
       2'o3: rRES_LOG <= #1 rOPA & ~rOPB;
155
     endcase // case (rOPC[1:0])
156
 
157 50 sybreon
   // --- SHIFTER SELECTOR ------------------------------------
158 41 sybreon
 
159
   reg [31:0]        rRES_SFT;
160
   reg              rRES_SFTC;
161
 
162
   always @(/*AUTOSENSE*/rIMM or rMSR_C or rOPA)
163
     case (rIMM[6:5])
164
       2'o0: {rRES_SFT, rRES_SFTC} <= #1 {rOPA[31],rOPA[31:0]};
165
       2'o1: {rRES_SFT, rRES_SFTC} <= #1 {rMSR_C,rOPA[31:0]};
166
       2'o2: {rRES_SFT, rRES_SFTC} <= #1 {1'b0,rOPA[31:0]};
167
       2'o3: {rRES_SFT, rRES_SFTC} <= #1 (rIMM[0]) ? { {(16){rOPA[15]}}, rOPA[15:0], rMSR_C} :
168
                                      { {(24){rOPA[7]}}, rOPA[7:0], rMSR_C};
169
     endcase // case (rIMM[6:5])
170
 
171 50 sybreon
   // --- MOVE SELECTOR ---------------------------------------
172 41 sybreon
 
173 44 sybreon
   wire [31:0]       wMSR = {rMSR_C, 3'o0,
174
                            20'h0ED32,
175
                            4'h0, rMSR_BIP, rMSR_C, rMSR_IE, rMSR_BE};
176 41 sybreon
   wire             fMFSR = (rOPC == 6'o45) & !rIMM[14] & rIMM[0];
177
   wire             fMFPC = (rOPC == 6'o45) & !rIMM[14] & !rIMM[0];
178
   reg [31:0]        rRES_MOV;
179
   always @(/*AUTOSENSE*/fMFPC or fMFSR or rOPA or rOPB or rPC or rRA
180
            or wMSR)
181
     rRES_MOV <= (fMFSR) ? wMSR :
182
                 (fMFPC) ? rPC :
183
                 (rRA[3]) ? rOPB :
184
                 rOPA;
185
 
186 50 sybreon
   // --- MULTIPLIER ------------------------------------------
187
 
188
   reg [31:0]        rRES_MUL;
189
   always @(/*AUTOSENSE*/rOPA or rOPB) begin
190
      rRES_MUL <= (rOPA * rOPB);
191
   end
192
 
193
   // --- BARREL SHIFTER --------------------------------------
194
 
195
   reg [31:0]     rRES_BSF;
196
   reg [31:0]     xBSRL, xBSRA, xBSLL;
197 41 sybreon
 
198 50 sybreon
   // Infer a logical left barrel shifter.   
199
   always @(/*AUTOSENSE*/rOPA or rOPB)
200
     xBSLL <= rOPA << rOPB[4:0];
201
 
202
   // Infer a logical right barrel shifter.
203
   always @(/*AUTOSENSE*/rOPA or rOPB)
204
     xBSRL <= rOPA >> rOPB[4:0];
205
 
206
   // Infer a arithmetic right barrel shifter.
207
   always @(/*AUTOSENSE*/rOPA or rOPB)
208
     case (rOPB[4:0])
209
       5'd00: xBSRA <= rOPA;
210
       5'd01: xBSRA <= {{(1){rOPA[31]}}, rOPA[31:1]};
211
       5'd02: xBSRA <= {{(2){rOPA[31]}}, rOPA[31:2]};
212
       5'd03: xBSRA <= {{(3){rOPA[31]}}, rOPA[31:3]};
213
       5'd04: xBSRA <= {{(4){rOPA[31]}}, rOPA[31:4]};
214
       5'd05: xBSRA <= {{(5){rOPA[31]}}, rOPA[31:5]};
215
       5'd06: xBSRA <= {{(6){rOPA[31]}}, rOPA[31:6]};
216
       5'd07: xBSRA <= {{(7){rOPA[31]}}, rOPA[31:7]};
217
       5'd08: xBSRA <= {{(8){rOPA[31]}}, rOPA[31:8]};
218
       5'd09: xBSRA <= {{(9){rOPA[31]}}, rOPA[31:9]};
219
       5'd10: xBSRA <= {{(10){rOPA[31]}}, rOPA[31:10]};
220
       5'd11: xBSRA <= {{(11){rOPA[31]}}, rOPA[31:11]};
221
       5'd12: xBSRA <= {{(12){rOPA[31]}}, rOPA[31:12]};
222
       5'd13: xBSRA <= {{(13){rOPA[31]}}, rOPA[31:13]};
223
       5'd14: xBSRA <= {{(14){rOPA[31]}}, rOPA[31:14]};
224
       5'd15: xBSRA <= {{(15){rOPA[31]}}, rOPA[31:15]};
225
       5'd16: xBSRA <= {{(16){rOPA[31]}}, rOPA[31:16]};
226
       5'd17: xBSRA <= {{(17){rOPA[31]}}, rOPA[31:17]};
227
       5'd18: xBSRA <= {{(18){rOPA[31]}}, rOPA[31:18]};
228
       5'd19: xBSRA <= {{(19){rOPA[31]}}, rOPA[31:19]};
229
       5'd20: xBSRA <= {{(20){rOPA[31]}}, rOPA[31:20]};
230
       5'd21: xBSRA <= {{(21){rOPA[31]}}, rOPA[31:21]};
231
       5'd22: xBSRA <= {{(22){rOPA[31]}}, rOPA[31:22]};
232
       5'd23: xBSRA <= {{(23){rOPA[31]}}, rOPA[31:23]};
233
       5'd24: xBSRA <= {{(24){rOPA[31]}}, rOPA[31:24]};
234
       5'd25: xBSRA <= {{(25){rOPA[31]}}, rOPA[31:25]};
235
       5'd26: xBSRA <= {{(26){rOPA[31]}}, rOPA[31:26]};
236
       5'd27: xBSRA <= {{(27){rOPA[31]}}, rOPA[31:27]};
237
       5'd28: xBSRA <= {{(28){rOPA[31]}}, rOPA[31:28]};
238
       5'd29: xBSRA <= {{(29){rOPA[31]}}, rOPA[31:29]};
239
       5'd30: xBSRA <= {{(30){rOPA[31]}}, rOPA[31:30]};
240
       5'd31: xBSRA <= {{(31){rOPA[31]}}, rOPA[31]};
241
     endcase // case (rOPB[4:0])
242
 
243
   always @(/*AUTOSENSE*/rALT or xBSLL or xBSRA or xBSRL)
244
     case (rALT[10:9])
245
       2'd0: rRES_BSF <= xBSRL;
246
       2'd1: rRES_BSF <= xBSRA;
247
       2'd2: rRES_BSF <= xBSLL;
248
       default: rRES_BSF <= 32'hX;
249
     endcase // case (rALT[10:9])
250
 
251
 
252 44 sybreon
   // --- MSR REGISTER -----------------
253 41 sybreon
 
254 44 sybreon
   // C
255
   wire            fMTS = (rOPC == 6'o45) & rIMM[14];
256
   wire            fADDC = ({rOPC[5:4], rOPC[2]} == 3'o0);
257 41 sybreon
 
258 44 sybreon
   always @(/*AUTOSENSE*/fADDC or fMTS or fSKIP or rMSR_C or rMXALU
259 61 sybreon
            or rOPA or rRES_ADDC or rRES_SFTC)
260
     //if (fSKIP | |rXCE) begin
261
     if (fSKIP) begin
262 44 sybreon
        xMSR_C <= rMSR_C;
263
     end else
264
       case (rMXALU)
265
         3'o0: xMSR_C <= (fADDC) ? rRES_ADDC : rMSR_C;
266
         3'o1: xMSR_C <= rMSR_C; // LOGIC       
267
         3'o2: xMSR_C <= rRES_SFTC; // SHIFT
268
         3'o3: xMSR_C <= (fMTS) ? rOPA[2] : rMSR_C;
269
         3'o4: xMSR_C <= rMSR_C;
270
         3'o5: xMSR_C <= rMSR_C;
271
         default: xMSR_C <= 1'hX;
272
       endcase
273
 
274
   // IE/BIP/BE
275
   wire             fRTID = (rOPC == 6'o55) & rRD[0];
276
   wire             fRTBD = (rOPC == 6'o55) & rRD[1];
277 61 sybreon
   wire             fBRK = ((rOPC == 6'o56) | (rOPC == 6'o66)) & (rRA == 5'hC);
278
   wire             fXCE = ((rOPC == 6'o56) | (rOPC == 6'o66)) & (rRA == 5'hE);
279 41 sybreon
 
280 61 sybreon
   always @(/*AUTOSENSE*/fMTS or fRTID or fXCE or rMSR_IE or rOPA)
281
     xMSR_IE <= (fXCE) ? 1'b0 :
282 44 sybreon
                (fRTID) ? 1'b1 :
283
                (fMTS) ? rOPA[1] :
284
                rMSR_IE;
285 41 sybreon
 
286 44 sybreon
   always @(/*AUTOSENSE*/fBRK or fMTS or fRTBD or rMSR_BIP or rOPA)
287
     xMSR_BIP <= (fBRK) ? 1'b1 :
288
                 (fRTBD) ? 1'b0 :
289
                 (fMTS) ? rOPA[3] :
290
                 rMSR_BIP;
291
 
292
   always @(/*AUTOSENSE*/fMTS or rMSR_BE or rOPA)
293
     xMSR_BE <= (fMTS) ? rOPA[0] : rMSR_BE;
294
 
295 50 sybreon
   // --- RESULT SELECTOR -------------------------------------------
296
   // Selects results from functional units. 
297 41 sybreon
   reg [31:0]       rRESULT, xRESULT;
298
 
299
   // RESULT
300
   always @(/*AUTOSENSE*/fSKIP or rMXALU or rRES_ADD or rRES_BSF
301
            or rRES_LOG or rRES_MOV or rRES_MUL or rRES_SFT)
302
     if (fSKIP)
303
       /*AUTORESET*/
304
       // Beginning of autoreset for uninitialized flops
305
       xRESULT <= 32'h0;
306
       // End of automatics
307
     else
308
       case (rMXALU)
309
         3'o0: xRESULT <= rRES_ADD;
310
         3'o1: xRESULT <= rRES_LOG;
311
         3'o2: xRESULT <= rRES_SFT;
312
         3'o3: xRESULT <= rRES_MOV;
313 50 sybreon
         3'o4: xRESULT <= (MUL) ? rRES_MUL : 32'hX;
314
         3'o5: xRESULT <= (BSF) ? rRES_BSF : 32'hX;
315 41 sybreon
         default: xRESULT <= 32'hX;
316
       endcase // case (rMXALU)
317
 
318
   // --- DATA WISHBONE -----
319
 
320
   reg [3:0]         rDWBSEL, xDWBSEL;
321
   assign           dwb_adr_o = rRESULT[DW-1:2];
322
   assign           dwb_sel_o = rDWBSEL;
323
 
324
   always @(/*AUTOSENSE*/rOPC or wADD)
325
     case (rOPC[1:0])
326 53 sybreon
       2'o0: case (wADD[1:0]) // 8'bit
327 41 sybreon
               2'o0: xDWBSEL <= 4'h8;
328
               2'o1: xDWBSEL <= 4'h4;
329
               2'o2: xDWBSEL <= 4'h2;
330
               2'o3: xDWBSEL <= 4'h1;
331
             endcase // case (wADD[1:0])
332 53 sybreon
       2'o1: xDWBSEL <= (wADD[1]) ? 4'h3 : 4'hC; // 16'bit
333
       2'o2: xDWBSEL <= 4'hF; // 32'bit
334
       2'o3: xDWBSEL <= 4'h0; // FSL
335 41 sybreon
     endcase // case (rOPC[1:0])
336 53 sybreon
 
337
   // --- FSL WISHBONE --------------------
338
 
339
   reg [14:2]       rFSLADR, xFSLADR;
340 41 sybreon
 
341 53 sybreon
   assign           fsl_adr_o = rFSLADR[14:2];
342
 
343
   always @(/*AUTOSENSE*/rALT or rRB) begin
344
      xFSLADR <= {rALT, rRB[3:2]};
345
   end
346
 
347 41 sybreon
   // --- SYNC ---
348
 
349
   always @(posedge gclk)
350
     if (grst) begin
351
        /*AUTORESET*/
352
        // Beginning of autoreset for uninitialized flops
353
        rDWBSEL <= 4'h0;
354 53 sybreon
        rFSLADR <= 13'h0;
355 44 sybreon
        rMSR_BE <= 1'h0;
356
        rMSR_BIP <= 1'h0;
357 41 sybreon
        rMSR_C <= 1'h0;
358 44 sybreon
        rMSR_IE <= 1'h0;
359 41 sybreon
        rRESULT <= 32'h0;
360
        // End of automatics
361
     end else if (gena) begin
362
        rRESULT <= #1 xRESULT;
363
        rDWBSEL <= #1 xDWBSEL;
364
        rMSR_C <= #1 xMSR_C;
365
        rMSR_IE <= #1 xMSR_IE;
366 44 sybreon
        rMSR_BE <= #1 xMSR_BE;
367 53 sybreon
        rMSR_BIP <= #1 xMSR_BIP;
368
        rFSLADR <= #1 xFSLADR;
369 41 sybreon
     end
370
 
371
endmodule // aeMB_xecu

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.