OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [branches/] [DEV_SYBREON/] [rtl/] [verilog/] [aeMB_core.v] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 sybreon
/*
2 39 sybreon
 * $Id: aeMB_core.v,v 1.8 2007-10-22 19:12:59 sybreon Exp $
3 3 sybreon
 *
4 11 sybreon
 * AEMB 32-bit Microblaze Compatible Core
5 25 sybreon
 * Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6 3 sybreon
 *
7 25 sybreon
 * This library is free software; you can redistribute it and/or
8
 * modify it under the terms of the GNU Lesser General Public License
9
 * as published by the Free Software Foundation; either version 2.1 of
10
 * the License, or (at your option) any later version.
11 3 sybreon
 *
12 25 sybreon
 * This library is distributed in the hope that it will be useful, but
13
 * WITHOUT ANY WARRANTY; without even the implied warranty of
14
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
15
 * Lesser General Public License for more details.
16 3 sybreon
 *
17 25 sybreon
 * You should have received a copy of the GNU Lesser General Public
18
 * License along with this library; if not, write to the Free Software
19
 * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
20
 * USA
21 3 sybreon
 *
22
 * DESCRIPTION
23
 * Microblaze compatible, WISHBONE compliant hardware core. This core is
24
 * capable of executing software compile for EDK 2.1 using GCC. It has the
25
 * capability of handling interrupts as well as exceptions.
26
 *
27
 * HISTORY
28
 * $Log: not supported by cvs2svn $
29 39 sybreon
 * Revision 1.7  2007/05/30 18:44:30  sybreon
30
 * Added interrupt support.
31
 *
32 38 sybreon
 * Revision 1.6  2007/05/17 09:08:21  sybreon
33
 * Removed asynchronous reset signal.
34
 *
35 36 sybreon
 * Revision 1.5  2007/04/27 00:23:55  sybreon
36
 * Added code documentation.
37
 * Improved size & speed of rtl/verilog/aeMB_aslu.v
38
 *
39 25 sybreon
 * Revision 1.4  2007/04/25 22:15:04  sybreon
40
 * Added support for 8-bit and 16-bit data types.
41
 *
42 22 sybreon
 * Revision 1.3  2007/04/11 04:30:43  sybreon
43
 * Added pipeline stalling from incomplete bus cycles.
44
 * Separated sync and async portions of code.
45
 *
46 16 sybreon
 * Revision 1.2  2007/04/04 06:13:23  sybreon
47
 * Removed unused signals
48
 *
49 11 sybreon
 * Revision 1.1  2007/03/09 17:52:17  sybreon
50
 * initial import
51 3 sybreon
 *
52
 */
53
 
54
module aeMB_core (/*AUTOARG*/
55
   // Outputs
56 22 sybreon
   iwb_stb_o, iwb_adr_o, dwb_we_o, dwb_stb_o, dwb_sel_o, dwb_dat_o,
57
   dwb_adr_o,
58 3 sybreon
   // Inputs
59 39 sybreon
   sys_rst_i, sys_int_i, sys_clk_i, iwb_dat_i, iwb_ack_i, dwb_dat_i,
60
   dwb_ack_i
61 3 sybreon
   );
62
   // Instruction WB address space
63
   parameter ISIZ = 32;
64
   // Data WB address space
65
   parameter DSIZ = 32;
66
 
67
   /*AUTOOUTPUT*/
68
   // Beginning of automatic outputs (from unused autoinst outputs)
69
   output [DSIZ-1:0]     dwb_adr_o;              // From aslu of aeMB_aslu.v
70
   output [31:0] dwb_dat_o;              // From regfile of aeMB_regfile.v
71 22 sybreon
   output [3:0]          dwb_sel_o;              // From aslu of aeMB_aslu.v
72 3 sybreon
   output               dwb_stb_o;              // From decode of aeMB_decode.v
73
   output               dwb_we_o;               // From decode of aeMB_decode.v
74
   output [ISIZ-1:0]     iwb_adr_o;              // From fetch of aeMB_fetch.v
75 25 sybreon
   output               iwb_stb_o;              // From fetch of aeMB_fetch.v
76 3 sybreon
   // End of automatics
77
   /*AUTOINPUT*/
78
   // Beginning of automatic inputs (from unused autoinst inputs)
79
   input                dwb_ack_i;              // To control of aeMB_control.v
80 22 sybreon
   input [31:0]          dwb_dat_i;              // To regfile of aeMB_regfile.v
81 3 sybreon
   input                iwb_ack_i;              // To control of aeMB_control.v
82
   input [31:0]          iwb_dat_i;              // To fetch of aeMB_fetch.v, ...
83
   input                sys_clk_i;              // To control of aeMB_control.v
84
   input                sys_int_i;              // To control of aeMB_control.v
85
   input                sys_rst_i;              // To control of aeMB_control.v
86
   // End of automatics
87
   /*AUTOWIRE*/
88
   // Beginning of automatic wires (for undeclared instantiated-module outputs)
89
   wire                 drun;                   // From control of aeMB_control.v
90
   wire                 frun;                   // From control of aeMB_control.v
91
   wire                 nclk;                   // From control of aeMB_control.v
92 36 sybreon
   wire                 prst;                   // From control of aeMB_control.v
93
   wire                 prun;                   // From control of aeMB_control.v
94 39 sybreon
   wire [1:0]            rATOM;                  // From decode of aeMB_decode.v
95 3 sybreon
   wire                 rBRA;                   // From decode of aeMB_decode.v
96
   wire                 rDLY;                   // From decode of aeMB_decode.v
97 22 sybreon
   wire [3:0]            rDWBSEL;                // From aslu of aeMB_aslu.v
98 3 sybreon
   wire                 rDWBSTB;                // From decode of aeMB_decode.v
99
   wire                 rDWBWE;                 // From decode of aeMB_decode.v
100 39 sybreon
   wire [2:0]            rFSM;                   // From control of aeMB_control.v
101 3 sybreon
   wire [15:0]           rIMM;                   // From decode of aeMB_decode.v
102 25 sybreon
   wire                 rIWBSTB;                // From fetch of aeMB_fetch.v
103 3 sybreon
   wire                 rLNK;                   // From decode of aeMB_decode.v
104 38 sybreon
   wire                 rMSR_IE;                // From aslu of aeMB_aslu.v
105 3 sybreon
   wire [1:0]            rMXALU;                 // From decode of aeMB_decode.v
106 11 sybreon
   wire [1:0]            rMXLDST;                // From decode of aeMB_decode.v
107 3 sybreon
   wire [1:0]            rMXSRC;                 // From decode of aeMB_decode.v
108
   wire [1:0]            rMXTGT;                 // From decode of aeMB_decode.v
109
   wire [5:0]            rOPC;                   // From decode of aeMB_decode.v
110
   wire [31:0]           rPC;                    // From fetch of aeMB_fetch.v
111
   wire [4:0]            rRA;                    // From decode of aeMB_decode.v
112
   wire [4:0]            rRB;                    // From decode of aeMB_decode.v
113
   wire [4:0]            rRD;                    // From decode of aeMB_decode.v
114
   wire [31:0]           rREGA;                  // From regfile of aeMB_regfile.v
115
   wire [31:0]           rREGB;                  // From regfile of aeMB_regfile.v
116
   wire [31:0]           rRESULT;                // From aslu of aeMB_aslu.v
117
   wire                 rRWE;                   // From decode of aeMB_decode.v
118
   wire [31:0]           rSIMM;                  // From decode of aeMB_decode.v
119 22 sybreon
   wire [31:0]           sDWBDAT;                // From regfile of aeMB_regfile.v
120 3 sybreon
   // End of automatics
121
 
122 25 sybreon
   // INSTANTIATIONS /////////////////////////////////////////////////////////////////
123
 
124 3 sybreon
   aeMB_regfile #(DSIZ)
125
     regfile (/*AUTOINST*/
126
              // Outputs
127
              .dwb_dat_o                (dwb_dat_o[31:0]),
128
              .rREGA                    (rREGA[31:0]),
129
              .rREGB                    (rREGB[31:0]),
130 22 sybreon
              .sDWBDAT                  (sDWBDAT[31:0]),
131 3 sybreon
              // Inputs
132
              .dwb_dat_i                (dwb_dat_i[31:0]),
133
              .rDWBSTB                  (rDWBSTB),
134
              .rDWBWE                   (rDWBWE),
135
              .rRA                      (rRA[4:0]),
136
              .rRB                      (rRB[4:0]),
137
              .rRD                      (rRD[4:0]),
138
              .rRESULT                  (rRESULT[31:0]),
139 39 sybreon
              .rFSM                     (rFSM[2:0]),
140 3 sybreon
              .rPC                      (rPC[31:0]),
141 22 sybreon
              .rOPC                     (rOPC[5:0]),
142
              .rDWBSEL                  (rDWBSEL[3:0]),
143 3 sybreon
              .rLNK                     (rLNK),
144
              .rRWE                     (rRWE),
145
              .nclk                     (nclk),
146 36 sybreon
              .prst                     (prst),
147 3 sybreon
              .drun                     (drun),
148 36 sybreon
              .prun                     (prun));
149 3 sybreon
 
150
   aeMB_fetch #(ISIZ)
151
     fetch (/*AUTOINST*/
152
            // Outputs
153
            .iwb_adr_o                  (iwb_adr_o[ISIZ-1:0]),
154 25 sybreon
            .iwb_stb_o                  (iwb_stb_o),
155 3 sybreon
            .rPC                        (rPC[31:0]),
156 25 sybreon
            .rIWBSTB                    (rIWBSTB),
157 3 sybreon
            // Inputs
158
            .iwb_dat_i                  (iwb_dat_i[31:0]),
159
            .nclk                       (nclk),
160 36 sybreon
            .prst                       (prst),
161
            .prun                       (prun),
162 39 sybreon
            .rFSM                       (rFSM[2:0]),
163 3 sybreon
            .rBRA                       (rBRA),
164
            .rRESULT                    (rRESULT[31:0]));
165
 
166
   aeMB_control
167
     control (/*AUTOINST*/
168
              // Outputs
169 39 sybreon
              .rFSM                     (rFSM[2:0]),
170 3 sybreon
              .nclk                     (nclk),
171 36 sybreon
              .prst                     (prst),
172
              .prun                     (prun),
173 3 sybreon
              .frun                     (frun),
174
              .drun                     (drun),
175
              // Inputs
176
              .sys_rst_i                (sys_rst_i),
177
              .sys_clk_i                (sys_clk_i),
178
              .sys_int_i                (sys_int_i),
179
              .rIWBSTB                  (rIWBSTB),
180
              .iwb_ack_i                (iwb_ack_i),
181
              .rDWBSTB                  (rDWBSTB),
182
              .dwb_ack_i                (dwb_ack_i),
183
              .rBRA                     (rBRA),
184 38 sybreon
              .rDLY                     (rDLY),
185 39 sybreon
              .rMSR_IE                  (rMSR_IE),
186
              .rATOM                    (rATOM[1:0]));
187 3 sybreon
 
188
   aeMB_aslu #(DSIZ)
189
     aslu (/*AUTOINST*/
190
           // Outputs
191
           .dwb_adr_o                   (dwb_adr_o[DSIZ-1:0]),
192 22 sybreon
           .dwb_sel_o                   (dwb_sel_o[3:0]),
193 3 sybreon
           .rRESULT                     (rRESULT[31:0]),
194 22 sybreon
           .rDWBSEL                     (rDWBSEL[3:0]),
195 38 sybreon
           .rMSR_IE                     (rMSR_IE),
196 3 sybreon
           // Inputs
197 22 sybreon
           .sDWBDAT                     (sDWBDAT[31:0]),
198 3 sybreon
           .rBRA                        (rBRA),
199
           .rDLY                        (rDLY),
200
           .rREGA                       (rREGA[31:0]),
201
           .rREGB                       (rREGB[31:0]),
202
           .rSIMM                       (rSIMM[31:0]),
203
           .rMXSRC                      (rMXSRC[1:0]),
204
           .rMXTGT                      (rMXTGT[1:0]),
205
           .rMXALU                      (rMXALU[1:0]),
206
           .rOPC                        (rOPC[5:0]),
207
           .rPC                         (rPC[31:0]),
208
           .rIMM                        (rIMM[15:0]),
209
           .rRD                         (rRD[4:0]),
210
           .rRA                         (rRA[4:0]),
211 11 sybreon
           .rMXLDST                     (rMXLDST[1:0]),
212 39 sybreon
           .rFSM                        (rFSM[2:0]),
213 3 sybreon
           .nclk                        (nclk),
214 36 sybreon
           .prst                        (prst),
215 3 sybreon
           .drun                        (drun),
216 36 sybreon
           .prun                        (prun));
217 3 sybreon
 
218
   aeMB_decode
219
     decode (/*AUTOINST*/
220
             // Outputs
221
             .rSIMM                     (rSIMM[31:0]),
222
             .rMXALU                    (rMXALU[1:0]),
223
             .rMXSRC                    (rMXSRC[1:0]),
224
             .rMXTGT                    (rMXTGT[1:0]),
225
             .rRA                       (rRA[4:0]),
226
             .rRB                       (rRB[4:0]),
227
             .rRD                       (rRD[4:0]),
228
             .rOPC                      (rOPC[5:0]),
229
             .rIMM                      (rIMM[15:0]),
230
             .rDWBSTB                   (rDWBSTB),
231
             .rDWBWE                    (rDWBWE),
232
             .rDLY                      (rDLY),
233
             .rLNK                      (rLNK),
234
             .rBRA                      (rBRA),
235
             .rRWE                      (rRWE),
236 11 sybreon
             .rMXLDST                   (rMXLDST[1:0]),
237 39 sybreon
             .rATOM                     (rATOM[1:0]),
238 3 sybreon
             .dwb_stb_o                 (dwb_stb_o),
239
             .dwb_we_o                  (dwb_we_o),
240
             // Inputs
241 22 sybreon
             .sDWBDAT                   (sDWBDAT[31:0]),
242
             .rDWBSEL                   (rDWBSEL[3:0]),
243 3 sybreon
             .rREGA                     (rREGA[31:0]),
244
             .rRESULT                   (rRESULT[31:0]),
245 39 sybreon
             .rFSM                      (rFSM[2:0]),
246 3 sybreon
             .iwb_dat_i                 (iwb_dat_i[31:0]),
247
             .nclk                      (nclk),
248 36 sybreon
             .prst                      (prst),
249 3 sybreon
             .drun                      (drun),
250
             .frun                      (frun),
251 36 sybreon
             .prun                      (prun));
252 3 sybreon
 
253
endmodule // aeMB_core

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.