OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [branches/] [DEV_SYBREON/] [rtl/] [verilog/] [aeMB_sim.v] - Blame information for rev 191

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 95 sybreon
/* $Id: aeMB_sim.v,v 1.1 2007-12-23 20:40:45 sybreon Exp $
2
**
3
** AEMB EDK 3.2 Compatible Core
4
** Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
5
**
6
** This file is part of AEMB.
7
**
8
** AEMB is free software: you can redistribute it and/or modify it
9
** under the terms of the GNU Lesser General Public License as
10
** published by the Free Software Foundation, either version 3 of the
11
** License, or (at your option) any later version.
12
**
13
** AEMB is distributed in the hope that it will be useful, but WITHOUT
14
** ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
15
** or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
16
** Public License for more details.
17
**
18
** You should have received a copy of the GNU Lesser General Public
19
** License along with AEMB. If not, see <http://www.gnu.org/licenses/>.
20
*/
21
 
22
module aeMB_sim (/*AUTOARG*/
23
   // Outputs
24
   iwb_stb_o, iwb_adr_o, fsl_wre_o, fsl_tag_o, fsl_stb_o, fsl_dat_o,
25
   fsl_adr_o, dwb_wre_o, dwb_stb_o, dwb_sel_o, dwb_dat_o, dwb_adr_o,
26
   // Inputs
27
   sys_rst_i, sys_int_i, sys_clk_i, iwb_dat_i, iwb_ack_i, fsl_dat_i,
28
   fsl_ack_i, dwb_dat_i, dwb_ack_i
29
   );
30
   // Bus widths
31
   parameter IW = 32; /// Instruction bus address width
32
   parameter DW = 32; /// Data bus address width
33
 
34
   // Optional functions
35
   parameter MUL = 1; // Multiplier
36
   parameter BSF = 1; // Barrel Shifter
37
 
38
   /*AUTOOUTPUT*/
39
   // Beginning of automatic outputs (from unused autoinst outputs)
40
   output [DW-1:2]      dwb_adr_o;              // From cpu of aeMB_edk32.v
41
   output [31:0] dwb_dat_o;              // From cpu of aeMB_edk32.v
42
   output [3:0]          dwb_sel_o;              // From cpu of aeMB_edk32.v
43
   output               dwb_stb_o;              // From cpu of aeMB_edk32.v
44
   output               dwb_wre_o;              // From cpu of aeMB_edk32.v
45
   output [6:2]         fsl_adr_o;              // From cpu of aeMB_edk32.v
46
   output [31:0] fsl_dat_o;              // From cpu of aeMB_edk32.v
47
   output               fsl_stb_o;              // From cpu of aeMB_edk32.v
48
   output [1:0]          fsl_tag_o;              // From cpu of aeMB_edk32.v
49
   output               fsl_wre_o;              // From cpu of aeMB_edk32.v
50
   output [IW-1:2]      iwb_adr_o;              // From cpu of aeMB_edk32.v
51
   output               iwb_stb_o;              // From cpu of aeMB_edk32.v
52
   // End of automatics
53
   /*AUTOINPUT*/
54
   // Beginning of automatic inputs (from unused autoinst inputs)
55
   input                dwb_ack_i;              // To cpu of aeMB_edk32.v
56
   input [31:0]          dwb_dat_i;              // To cpu of aeMB_edk32.v
57
   input                fsl_ack_i;              // To cpu of aeMB_edk32.v
58
   input [31:0]          fsl_dat_i;              // To cpu of aeMB_edk32.v
59
   input                iwb_ack_i;              // To cpu of aeMB_edk32.v
60
   input [31:0]          iwb_dat_i;              // To cpu of aeMB_edk32.v
61
   input                sys_clk_i;              // To cpu of aeMB_edk32.v
62
   input                sys_int_i;              // To cpu of aeMB_edk32.v
63
   input                sys_rst_i;              // To cpu of aeMB_edk32.v
64
   // End of automatics
65
   /*AUTOWIRE*/
66
 
67
   aeMB_edk32
68
     #(/*AUTOINSTPARAM*/
69
       // Parameters
70
       .IW                              (IW),
71
       .DW                              (DW),
72
       .MUL                             (MUL),
73
       .BSF                             (BSF))
74
   cpu
75
     (/*AUTOINST*/
76
      // Outputs
77
      .dwb_adr_o                        (dwb_adr_o[DW-1:2]),
78
      .dwb_dat_o                        (dwb_dat_o[31:0]),
79
      .dwb_sel_o                        (dwb_sel_o[3:0]),
80
      .dwb_stb_o                        (dwb_stb_o),
81
      .dwb_wre_o                        (dwb_wre_o),
82
      .fsl_adr_o                        (fsl_adr_o[6:2]),
83
      .fsl_dat_o                        (fsl_dat_o[31:0]),
84
      .fsl_stb_o                        (fsl_stb_o),
85
      .fsl_tag_o                        (fsl_tag_o[1:0]),
86
      .fsl_wre_o                        (fsl_wre_o),
87
      .iwb_adr_o                        (iwb_adr_o[IW-1:2]),
88
      .iwb_stb_o                        (iwb_stb_o),
89
      // Inputs
90
      .dwb_ack_i                        (dwb_ack_i),
91
      .dwb_dat_i                        (dwb_dat_i[31:0]),
92
      .fsl_ack_i                        (fsl_ack_i),
93
      .fsl_dat_i                        (fsl_dat_i[31:0]),
94
      .iwb_ack_i                        (iwb_ack_i),
95
      .iwb_dat_i                        (iwb_dat_i[31:0]),
96
      .sys_int_i                        (sys_int_i),
97
      .sys_clk_i                        (sys_clk_i),
98
      .sys_rst_i                        (sys_rst_i));
99
 
100
   // --- SIMULATION KERNEL ----------------------------------
101
   // synopsys translate_off
102
 
103
   wire [IW-1:0]         iwb_adr = {iwb_adr_o, 2'd0};
104
   wire [DW-1:0]         dwb_adr = {dwb_adr_o,2'd0};
105
   wire [1:0]            wBRA = {cpu.rBRA, cpu.rDLY};
106
   wire [3:0]            wMSR = {cpu.xecu.rMSR_BIP, cpu.xecu.rMSR_C, cpu.xecu.rMSR_IE, cpu.xecu.rMSR_BE};
107
 
108
   always @(posedge cpu.gclk) begin
109
      if (cpu.gena) begin
110
 
111
         $write ("\n", ($stime/10));
112
         $writeh (" PC=", iwb_adr );
113
         $writeh ("\t");
114
 
115
         case (wBRA)
116
           2'b00: $write(" ");
117
           2'b01: $write(".");
118
           2'b10: $write("-");
119
           2'b11: $write("+");
120
         endcase // case (cpu.wBRA)
121
 
122
         case (cpu.rOPC)
123
           6'o00: if (cpu.rRD == 0) $write("   "); else $write("ADD");
124
           6'o01: $write("RSUB");
125
           6'o02: $write("ADDC");
126
           6'o03: $write("RSUBC");
127
           6'o04: $write("ADDK");
128
           6'o05: case (cpu.rIMM[1:0])
129
                    2'o0: $write("RSUBK");
130
                    2'o1: $write("CMP");
131
                    2'o3: $write("CMPU");
132
                    default: $write("XXX");
133
                  endcase // case (cpu.rIMM[1:0])
134
           6'o06: $write("ADDKC");
135
           6'o07: $write("RSUBKC");
136
 
137
           6'o10: $write("ADDI");
138
           6'o11: $write("RSUBI");
139
           6'o12: $write("ADDIC");
140
           6'o13: $write("RSUBIC");
141
           6'o14: $write("ADDIK");
142
           6'o15: $write("RSUBIK");
143
           6'o16: $write("ADDIKC");
144
           6'o17: $write("RSUBIKC");
145
 
146
           6'o20: $write("MUL");
147
           6'o21: case (cpu.rALT[10:9])
148
                    2'o0: $write("BSRL");
149
                    2'o1: $write("BSRA");
150
                    2'o2: $write("BSLL");
151
                    default: $write("XXX");
152
                  endcase // case (cpu.rALT[10:9])
153
           6'o22: $write("IDIV");
154
 
155
           6'o30: $write("MULI");
156
           6'o31: case (cpu.rALT[10:9])
157
                    2'o0: $write("BSRLI");
158
                    2'o1: $write("BSRAI");
159
                    2'o2: $write("BSLLI");
160
                    default: $write("XXX");
161
                  endcase // case (cpu.rALT[10:9])
162
           6'o33: case (cpu.rRB[4:2])
163
                    3'o0: $write("GET");
164
                    3'o4: $write("PUT");
165
                    3'o2: $write("NGET");
166
                    3'o6: $write("NPUT");
167
                    3'o1: $write("CGET");
168
                    3'o5: $write("CPUT");
169
                    3'o3: $write("NCGET");
170
                    3'o7: $write("NCPUT");
171
                  endcase // case (cpu.rRB[4:2])
172
 
173
           6'o40: $write("OR");
174
           6'o41: $write("AND");
175
           6'o42: if (cpu.rRD == 0) $write("   "); else $write("XOR");
176
           6'o43: $write("ANDN");
177
           6'o44: case (cpu.rIMM[6:5])
178
                    2'o0: $write("SRA");
179
                    2'o1: $write("SRC");
180
                    2'o2: $write("SRL");
181
                    2'o3: if (cpu.rIMM[0]) $write("SEXT16"); else $write("SEXT8");
182
                  endcase // case (cpu.rIMM[6:5])
183
 
184
           6'o45: $write("MOV");
185
           6'o46: case (cpu.rRA[3:2])
186
                    3'o0: $write("BR");
187
                    3'o1: $write("BRL");
188
                    3'o2: $write("BRA");
189
                    3'o3: $write("BRAL");
190
                  endcase // case (cpu.rRA[3:2])
191
 
192
           6'o47: case (cpu.rRD[2:0])
193
                    3'o0: $write("BEQ");
194
                    3'o1: $write("BNE");
195
                    3'o2: $write("BLT");
196
                    3'o3: $write("BLE");
197
                    3'o4: $write("BGT");
198
                    3'o5: $write("BGE");
199
                    default: $write("XXX");
200
                  endcase // case (cpu.rRD[2:0])
201
 
202
           6'o50: $write("ORI");
203
           6'o51: $write("ANDI");
204
           6'o52: $write("XORI");
205
           6'o53: $write("ANDNI");
206
           6'o54: $write("IMMI");
207
           6'o55: case (cpu.rRD[1:0])
208
                    2'o0: $write("RTSD");
209
                    2'o1: $write("RTID");
210
                    2'o2: $write("RTBD");
211
                    default: $write("XXX");
212
                  endcase // case (cpu.rRD[1:0])
213
           6'o56: case (cpu.rRA[3:2])
214
                    3'o0: $write("BRI");
215
                    3'o1: $write("BRLI");
216
                    3'o2: $write("BRAI");
217
                    3'o3: $write("BRALI");
218
                  endcase // case (cpu.rRA[3:2])
219
           6'o57: case (cpu.rRD[2:0])
220
                    3'o0: $write("BEQI");
221
                    3'o1: $write("BNEI");
222
                    3'o2: $write("BLTI");
223
                    3'o3: $write("BLEI");
224
                    3'o4: $write("BGTI");
225
                    3'o5: $write("BGEI");
226
                    default: $write("XXX");
227
                  endcase // case (cpu.rRD[2:0])
228
 
229
           6'o60: $write("LBU");
230
           6'o61: $write("LHU");
231
           6'o62: $write("LW");
232
           6'o64: $write("SB");
233
           6'o65: $write("SH");
234
           6'o66: $write("SW");
235
 
236
           6'o70: $write("LBUI");
237
           6'o71: $write("LHUI");
238
           6'o72: $write("LWI");
239
           6'o74: $write("SBI");
240
           6'o75: $write("SHI");
241
           6'o76: $write("SWI");
242
 
243
           default: $write("XXX");
244
         endcase // case (cpu.rOPC)
245
 
246
         case (cpu.rOPC[3])
247
           1'b1: $writeh("\tr",cpu.rRD,", r",cpu.rRA,", h",cpu.rIMM);
248
           1'b0: $writeh("\tr",cpu.rRD,", r",cpu.rRA,", r",cpu.rRB,"  ");
249
         endcase // case (cpu.rOPC[3])
250
 
251
 
252
         // ALU
253
         $write("\t");
254
         $writeh(" A=",cpu.xecu.rOPA);
255
         $writeh(" B=",cpu.xecu.rOPB);
256
 
257
         case (cpu.rMXALU)
258
           3'o0: $write(" ADD");
259
           3'o1: $write(" LOG");
260
           3'o2: $write(" SFT");
261
           3'o3: $write(" MOV");
262
           3'o4: $write(" MUL");
263
           3'o5: $write(" BSF");
264
           default: $write(" XXX");
265
         endcase // case (cpu.rMXALU)
266
         $writeh("=h",cpu.xecu.xRESULT);
267
 
268
         // WRITEBACK
269
         $writeh("\tSR=", wMSR," ");
270
 
271
         if (cpu.regf.fRDWE) begin
272
            case (cpu.rMXDST)
273
              2'o2: begin
274
                 if (dwb_stb_o) $writeh("R",cpu.rRW,"=RAM(h",cpu.regf.xWDAT,")");
275
                 if (fsl_stb_o) $writeh("R",cpu.rRW,"=FSL(h",cpu.regf.xWDAT,")");
276
              end
277
              2'o1: $writeh("R",cpu.rRW,"=LNK(h",cpu.regf.xWDAT,")");
278
              2'o0: $writeh("R",cpu.rRW,"=ALU(h",cpu.regf.xWDAT,")");
279
            endcase // case (cpu.rMXDST)
280
         end
281
 
282
         // STORE
283
         if (dwb_stb_o & dwb_wre_o) begin
284
            $writeh("RAM(", dwb_adr ,")=", dwb_dat_o);
285
            case (dwb_sel_o)
286
              4'hF: $write(":L");
287
              4'h3,4'hC: $write(":W");
288
              4'h1,4'h2,4'h4,4'h8: $write(":B");
289
            endcase // case (dwb_sel_o)
290
 
291
         end
292
 
293
      end // if (cpu.gena)
294
 
295
   end // always @ (posedge cpu.gclk)
296
 
297
   // synopsys translate_on
298
 
299
endmodule // aeMB_sim
300
 
301
/*
302
 $Log: not supported by cvs2svn $
303
 */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.