OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [tags/] [AEMB_7_05/] [rtl/] [verilog/] [aeMB_regfile.v] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 sybreon
//                              -*- Mode: Verilog -*-
2
// Filename        : aeMB_regfile.v
3
// Description     : AEMB Register File
4
// Author          : Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
5
// Created On      : Fri Dec 29 16:17:31 2006
6 8 sybreon
// Last Modified By: $Author: sybreon $
7 14 sybreon
// Last Modified On: $Date: 2007-04-04 14:08:34 $
8
// Update Count    : $Revision: 1.5 $
9 8 sybreon
// Status          : $State: Exp $
10 3 sybreon
 
11
/*
12 14 sybreon
 * $Id: aeMB_regfile.v,v 1.5 2007-04-04 14:08:34 sybreon Exp $
13 3 sybreon
 *
14 8 sybreon
 * AEMB Register File
15 3 sybreon
 * Copyright (C) 2006 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
16
 *
17
 * This library is free software; you can redistribute it and/or modify it
18
 * under the terms of the GNU Lesser General Public License as published by
19
 * the Free Software Foundation; either version 2.1 of the License,
20
 * or (at your option) any later version.
21
 *
22
 * This library is distributed in the hope that it will be useful, but
23
 * WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
24
 * or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
25
 * License for more details.
26
 *
27
 * You should have received a copy of the GNU Lesser General Public License
28
 * along with this library; if not, write to the Free Software Foundation, Inc.,
29
 * 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
30
 *
31
 * DESCRIPTION
32
 * Implements the 32 registers as registers. Some registers require
33
 * special actions during hardware exception/interrupts. Data forwarding
34
 * is also taken care of inside here to simplify decode logic.
35
 *
36
 * HISTORY
37
 * $Log: not supported by cvs2svn $
38 14 sybreon
 * Revision 1.4  2007/04/04 06:11:47  sybreon
39
 * Fixed memory read-write data hazard
40
 *
41 8 sybreon
 * Revision 1.3  2007/04/03 14:46:26  sybreon
42
 * Fixed endian correction issues on data bus.
43
 *
44 5 sybreon
 * Revision 1.2  2007/03/26 12:21:31  sybreon
45
 * Fixed a minor bug where RD is trashed by a STORE instruction. Spotted by Joon Lee.
46
 *
47 4 sybreon
 * Revision 1.1  2007/03/09 17:52:17  sybreon
48
 * initial import
49 3 sybreon
 *
50
 */
51
 
52
module aeMB_regfile(/*AUTOARG*/
53
   // Outputs
54
   dwb_dat_o, rREGA, rREGB,
55
   // Inputs
56
   dwb_dat_i, rDWBSTB, rDWBWE, rRA, rRB, rRD, rRD_, rRESULT, rFSM,
57
   rPC, rPCNXT, rLNK, rRWE, nclk, nrst, drun, drst
58
   );
59
   // Data WB bus width
60
   parameter DSIZ = 32;
61
 
62
   // Data WB I/F
63
   output [31:0] dwb_dat_o;
64
   input [31:0]  dwb_dat_i;
65
 
66
   // Internal I/F
67
   output [31:0] rREGA, rREGB;
68
   input         rDWBSTB, rDWBWE;
69
   input [4:0]    rRA, rRB, rRD, rRD_;
70
   input [31:0]  rRESULT;
71
   input [1:0]    rFSM;
72
   input [31:0]  rPC, rPCNXT;
73
   input         rLNK, rRWE;
74
   input         nclk, nrst, drun, drst;
75
 
76
   // Register File
77
   reg [31:0]     r00,r01,r02,r03,r04,r05,r06,r07;
78
   reg [31:0]     r08,r09,r0A,r0B,r0C,r0D,r0E,r0F;
79
   reg [31:0]     r10,r11,r12,r13,r14,r15,r16,r17;
80
   reg [31:0]     r18,r19,r1A,r1B,r1C,r1D,r1E,r1F;
81
 
82
   // FLAGS
83 4 sybreon
   wire fWE = rRWE & ~rDWBWE;
84 3 sybreon
   wire fLNK = rLNK;
85
   wire fLD = rDWBSTB ^ rDWBWE;
86 4 sybreon
 
87 3 sybreon
   // PC Latch
88
   reg [31:0]     rPC_;
89
   always @(negedge nclk or negedge nrst)
90
     if (!nrst) begin
91
        /*AUTORESET*/
92
        // Beginning of autoreset for uninitialized flops
93
        rPC_ <= 32'h0;
94
        // End of automatics
95
     end else begin
96
        rPC_ <= #1 rPC;
97
     end
98
 
99
   // DWB data - Endian Correction
100
   reg [31:0]     rDWBDAT;
101 5 sybreon
   //assign      dwb_dat_o = rDWBDAT;
102
   //wire [31:0]         wDWBDAT = dwb_dat_i;
103
   assign        dwb_dat_o = {rDWBDAT[7:0],rDWBDAT[15:8],rDWBDAT[23:16],rDWBDAT[31:24]};
104
   wire [31:0]    wDWBDAT = {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]};
105 8 sybreon
 
106
   // Forwarding Control
107
   wire          fDFWD = (rRD == rRD_) & fWE;
108
   wire          fMFWD = rDWBSTB & ~rDWBWE;
109
   wire [31:0]    wRESULT = (fMFWD) ? wDWBDAT : rRESULT;
110
 
111
   // Register Load
112 3 sybreon
   always @(negedge nclk or negedge nrst)
113
     if (!nrst) begin
114
        /*AUTORESET*/
115
        // Beginning of autoreset for uninitialized flops
116
        rDWBDAT <= 32'h0;
117
        // End of automatics
118
     end else if (drun) begin
119
        case (rRD)
120 8 sybreon
          5'h00: rDWBDAT <= #1 (fDFWD) ? wRESULT : r00;
121
          5'h01: rDWBDAT <= #1 (fDFWD) ? wRESULT : r01;
122
          5'h02: rDWBDAT <= #1 (fDFWD) ? wRESULT : r02;
123
          5'h03: rDWBDAT <= #1 (fDFWD) ? wRESULT : r03;
124
          5'h04: rDWBDAT <= #1 (fDFWD) ? wRESULT : r04;
125
          5'h05: rDWBDAT <= #1 (fDFWD) ? wRESULT : r05;
126
          5'h06: rDWBDAT <= #1 (fDFWD) ? wRESULT : r06;
127
          5'h07: rDWBDAT <= #1 (fDFWD) ? wRESULT : r07;
128
          5'h08: rDWBDAT <= #1 (fDFWD) ? wRESULT : r08;
129
          5'h09: rDWBDAT <= #1 (fDFWD) ? wRESULT : r09;
130
          5'h0A: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0A;
131
          5'h0B: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0B;
132
          5'h0C: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0C;
133
          5'h0D: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0D;
134
          5'h0E: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0E;
135
          5'h0F: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0F;
136
          5'h10: rDWBDAT <= #1 (fDFWD) ? wRESULT : r10;
137
          5'h11: rDWBDAT <= #1 (fDFWD) ? wRESULT : r11;
138
          5'h12: rDWBDAT <= #1 (fDFWD) ? wRESULT : r12;
139
          5'h13: rDWBDAT <= #1 (fDFWD) ? wRESULT : r13;
140
          5'h14: rDWBDAT <= #1 (fDFWD) ? wRESULT : r14;
141
          5'h15: rDWBDAT <= #1 (fDFWD) ? wRESULT : r15;
142
          5'h16: rDWBDAT <= #1 (fDFWD) ? wRESULT : r16;
143
          5'h17: rDWBDAT <= #1 (fDFWD) ? wRESULT : r17;
144
          5'h18: rDWBDAT <= #1 (fDFWD) ? wRESULT : r18;
145
          5'h19: rDWBDAT <= #1 (fDFWD) ? wRESULT : r19;
146
          5'h1A: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1A;
147
          5'h1B: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1B;
148
          5'h1C: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1C;
149
          5'h1D: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1D;
150
          5'h1E: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1E;
151
          5'h1F: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1F;
152 4 sybreon
        endcase // case (rRD)
153 3 sybreon
     end else begin // if (drun)
154
        /*AUTORESET*/
155
        // Beginning of autoreset for uninitialized flops
156
        rDWBDAT <= 32'h0;
157
        // End of automatics
158 4 sybreon
     end // else: !if(drun)
159 5 sybreon
 
160 3 sybreon
   // Load Registers
161
   reg [31:0]         rREGA, rREGB;
162
   always @(posedge nclk or negedge nrst)
163
     if (!nrst) begin
164
        /*AUTORESET*/
165
        // Beginning of autoreset for uninitialized flops
166
        rREGA <= 32'h0;
167
        rREGB <= 32'h0;
168
        // End of automatics
169
     end else if (drun) begin
170
        case (rRA)
171
          5'h1F: rREGA <= #1 r1F;
172
          5'h1E: rREGA <= #1 r1E;
173
          5'h1D: rREGA <= #1 r1D;
174
          5'h1C: rREGA <= #1 r1C;
175
          5'h1B: rREGA <= #1 r1B;
176
          5'h1A: rREGA <= #1 r1A;
177
          5'h19: rREGA <= #1 r19;
178
          5'h18: rREGA <= #1 r18;
179
          5'h17: rREGA <= #1 r17;
180
          5'h16: rREGA <= #1 r16;
181
          5'h15: rREGA <= #1 r15;
182
          5'h14: rREGA <= #1 r14;
183
          5'h13: rREGA <= #1 r13;
184
          5'h12: rREGA <= #1 r12;
185
          5'h11: rREGA <= #1 r11;
186
          5'h10: rREGA <= #1 r10;
187
          5'h0F: rREGA <= #1 r0F;
188
          5'h0E: rREGA <= #1 r0E;
189
          5'h0D: rREGA <= #1 r0D;
190
          5'h0C: rREGA <= #1 r0C;
191
          5'h0B: rREGA <= #1 r0B;
192
          5'h0A: rREGA <= #1 r0A;
193
          5'h09: rREGA <= #1 r09;
194
          5'h08: rREGA <= #1 r08;
195
          5'h07: rREGA <= #1 r07;
196
          5'h06: rREGA <= #1 r06;
197
          5'h05: rREGA <= #1 r05;
198
          5'h04: rREGA <= #1 r04;
199
          5'h03: rREGA <= #1 r03;
200
          5'h02: rREGA <= #1 r02;
201
          5'h01: rREGA <= #1 r01;
202
          5'h00: rREGA <= #1 r00;
203 4 sybreon
        endcase // case (rRA)
204 3 sybreon
 
205
        case (rRB)
206
          5'h1F: rREGB <= #1 r1F;
207
          5'h1E: rREGB <= #1 r1E;
208
          5'h1D: rREGB <= #1 r1D;
209
          5'h1C: rREGB <= #1 r1C;
210
          5'h1B: rREGB <= #1 r1B;
211
          5'h1A: rREGB <= #1 r1A;
212
          5'h19: rREGB <= #1 r19;
213
          5'h18: rREGB <= #1 r18;
214
          5'h17: rREGB <= #1 r17;
215
          5'h16: rREGB <= #1 r16;
216
          5'h15: rREGB <= #1 r15;
217
          5'h14: rREGB <= #1 r14;
218
          5'h13: rREGB <= #1 r13;
219
          5'h12: rREGB <= #1 r12;
220
          5'h11: rREGB <= #1 r11;
221
          5'h10: rREGB <= #1 r10;
222
          5'h0F: rREGB <= #1 r0F;
223
          5'h0E: rREGB <= #1 r0E;
224
          5'h0D: rREGB <= #1 r0D;
225
          5'h0C: rREGB <= #1 r0C;
226
          5'h0B: rREGB <= #1 r0B;
227
          5'h0A: rREGB <= #1 r0A;
228
          5'h09: rREGB <= #1 r09;
229
          5'h08: rREGB <= #1 r08;
230
          5'h07: rREGB <= #1 r07;
231
          5'h06: rREGB <= #1 r06;
232
          5'h05: rREGB <= #1 r05;
233
          5'h04: rREGB <= #1 r04;
234
          5'h03: rREGB <= #1 r03;
235
          5'h02: rREGB <= #1 r02;
236
          5'h01: rREGB <= #1 r01;
237
          5'h00: rREGB <= #1 r00;
238 4 sybreon
        endcase // case (rRB)
239 3 sybreon
     end else begin // if (drun)
240
        /*AUTORESET*/
241
        // Beginning of autoreset for uninitialized flops
242
        rREGA <= 32'h0;
243
        rREGB <= 32'h0;
244
        // End of automatics
245 4 sybreon
     end // else: !if(drun)
246 3 sybreon
 
247
 
248
   // Normal Registers
249
   wire fR00 = (rRD_ == 5'h00);
250
   wire fR01 = (rRD_ == 5'h01);
251
   wire fR02 = (rRD_ == 5'h02);
252
   wire fR03 = (rRD_ == 5'h03);
253
   wire fR04 = (rRD_ == 5'h04);
254
   wire fR05 = (rRD_ == 5'h05);
255
   wire fR06 = (rRD_ == 5'h06);
256
   wire fR07 = (rRD_ == 5'h07);
257
   wire fR08 = (rRD_ == 5'h08);
258
   wire fR09 = (rRD_ == 5'h09);
259
   wire fR0A = (rRD_ == 5'h0A);
260
   wire fR0B = (rRD_ == 5'h0B);
261
   wire fR0C = (rRD_ == 5'h0C);
262
   wire fR0D = (rRD_ == 5'h0D);
263
   wire fR0E = (rRD_ == 5'h0E);
264
   wire fR0F = (rRD_ == 5'h0F);
265
   wire fR10 = (rRD_ == 5'h10);
266
   wire fR11 = (rRD_ == 5'h11);
267
   wire fR12 = (rRD_ == 5'h12);
268
   wire fR13 = (rRD_ == 5'h13);
269
   wire fR14 = (rRD_ == 5'h14);
270
   wire fR15 = (rRD_ == 5'h15);
271
   wire fR16 = (rRD_ == 5'h16);
272
   wire fR17 = (rRD_ == 5'h17);
273
   wire fR18 = (rRD_ == 5'h18);
274
   wire fR19 = (rRD_ == 5'h19);
275
   wire fR1A = (rRD_ == 5'h1A);
276
   wire fR1B = (rRD_ == 5'h1B);
277
   wire fR1C = (rRD_ == 5'h1C);
278
   wire fR1D = (rRD_ == 5'h1D);
279
   wire fR1E = (rRD_ == 5'h1E);
280
   wire fR1F = (rRD_ == 5'h1F);
281
 
282
   always @(negedge nclk or negedge nrst)
283
     if (!nrst) begin
284
        /*AUTORESET*/
285
        // Beginning of autoreset for uninitialized flops
286
        r01 <= 32'h0;
287
        r02 <= 32'h0;
288
        r03 <= 32'h0;
289
        r04 <= 32'h0;
290
        r05 <= 32'h0;
291
        r06 <= 32'h0;
292
        r07 <= 32'h0;
293
        r08 <= 32'h0;
294
        r09 <= 32'h0;
295
        r0A <= 32'h0;
296
        r0B <= 32'h0;
297
        r0C <= 32'h0;
298
        r0D <= 32'h0;
299
        r0F <= 32'h0;
300
        r10 <= 32'h0;
301
        r12 <= 32'h0;
302
        r13 <= 32'h0;
303
        r14 <= 32'h0;
304
        r15 <= 32'h0;
305
        r16 <= 32'h0;
306
        r17 <= 32'h0;
307
        r18 <= 32'h0;
308
        r19 <= 32'h0;
309
        r1A <= 32'h0;
310
        r1B <= 32'h0;
311
        r1C <= 32'h0;
312
        r1D <= 32'h0;
313
        r1E <= 32'h0;
314
        r1F <= 32'h0;
315
        // End of automatics
316
     end else begin // if (!nrst)
317
        r01 <= #1 (!fR01) ? r01 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r01;
318
        r02 <= #1 (!fR02) ? r02 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r02;
319
        r03 <= #1 (!fR03) ? r03 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r03;
320
        r04 <= #1 (!fR04) ? r04 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r04;
321
        r05 <= #1 (!fR05) ? r05 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r05;
322
        r06 <= #1 (!fR06) ? r06 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r06;
323
        r07 <= #1 (!fR07) ? r07 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r07;
324
        r08 <= #1 (!fR08) ? r08 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r08;
325
        r09 <= #1 (!fR09) ? r09 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r09;
326
        r0A <= #1 (!fR0A) ? r0A : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0A;
327
        r0B <= #1 (!fR0B) ? r0B : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0B;
328
        r0C <= #1 (!fR0C) ? r0C : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0C;
329
        r0D <= #1 (!fR0D) ? r0D : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0D;
330
        r0F <= #1 (!fR0F) ? r0F : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0F;
331
        r10 <= #1 (!fR10) ? r10 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r10;
332
        r12 <= #1 (!fR12) ? r12 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r12;
333 14 sybreon
        r13 <= #1 (!fR13) ? r13 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r13;
334
        r14 <= #1 (!fR14) ? r14 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r14;
335 3 sybreon
        r15 <= #1 (!fR15) ? r15 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r15;
336 14 sybreon
        r16 <= #1 (!fR16) ? r16 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r16;
337
        r17 <= #1 (!fR17) ? r17 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r17;
338 3 sybreon
        r18 <= #1 (!fR18) ? r18 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r18;
339
        r19 <= #1 (!fR19) ? r19 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r19;
340
        r1A <= #1 (!fR1A) ? r1A : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1A;
341
        r1B <= #1 (!fR1B) ? r1B : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1B;
342
        r1C <= #1 (!fR1C) ? r1C : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1C;
343
        r1D <= #1 (!fR1D) ? r1D : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1D;
344
        r1E <= #1 (!fR1E) ? r1E : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1E;
345
        r1F <= #1 (!fR1F) ? r1F : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1F;
346
 
347 4 sybreon
     end // else: !if(!nrst)
348 3 sybreon
 
349
   // Special Registers
350
   always @(negedge nclk or negedge nrst)
351
     if (!nrst) begin
352
        /*AUTORESET*/
353
        // Beginning of autoreset for uninitialized flops
354
        r00 <= 32'h0;
355
        r0E <= 32'h0;
356
        r11 <= 32'h0;
357
        // End of automatics
358
     end else begin
359
        // R00 - Zero
360
        r00 <= #1 r00;
361
        // R0E - Interrupt
362 14 sybreon
        r0E <= #1 (rFSM == 2'b01) ? rPCNXT :
363 3 sybreon
               (!fR0E) ? r0E : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0E;
364
        // R11 - Exception
365 14 sybreon
        r11 <= #1 (rFSM == 2'b10) ? rPCNXT :
366 3 sybreon
               (!fR11) ? r11 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r11;
367 4 sybreon
     end // else: !if(!nrst)
368 5 sybreon
 
369
   // Simulation ONLY
370
   always @(negedge nclk) begin
371 14 sybreon
      if ((fWE & (rRD_== 5'd0)) || (fLNK & (rRD_== 5'd0)) || (fLD & (rRD_== 5'd0))) $displayh("!!! Warning: Write to R0 !!!");
372
   end
373
 
374 3 sybreon
endmodule // aeMB_regfile
375
 
376
// Local Variables:
377
// verilog-library-directories:(".")
378
// verilog-library-files:("")
379
// End:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.