OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [tags/] [AEMB_7_05/] [rtl/] [verilog/] [aeMB_regfile.v] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 sybreon
//                              -*- Mode: Verilog -*-
2
// Filename        : aeMB_regfile.v
3
// Description     : AEMB Register File
4
// Author          : Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
5
// Created On      : Fri Dec 29 16:17:31 2006
6
// Last Modified By: Shawn Tan
7
// Last Modified On: 2006-12-29
8
// Update Count    : 0
9
// Status          : Unknown, Use with caution!
10
 
11
/*
12 5 sybreon
 * $Id: aeMB_regfile.v,v 1.3 2007-04-03 14:46:26 sybreon Exp $
13 3 sybreon
 *
14
 * Copyright (C) 2006 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
15
 *
16
 * This library is free software; you can redistribute it and/or modify it
17
 * under the terms of the GNU Lesser General Public License as published by
18
 * the Free Software Foundation; either version 2.1 of the License,
19
 * or (at your option) any later version.
20
 *
21
 * This library is distributed in the hope that it will be useful, but
22
 * WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
23
 * or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
24
 * License for more details.
25
 *
26
 * You should have received a copy of the GNU Lesser General Public License
27
 * along with this library; if not, write to the Free Software Foundation, Inc.,
28
 * 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
29
 *
30
 * DESCRIPTION
31
 * Implements the 32 registers as registers. Some registers require
32
 * special actions during hardware exception/interrupts. Data forwarding
33
 * is also taken care of inside here to simplify decode logic.
34
 *
35
 * HISTORY
36
 * $Log: not supported by cvs2svn $
37 5 sybreon
 * Revision 1.2  2007/03/26 12:21:31  sybreon
38
 * Fixed a minor bug where RD is trashed by a STORE instruction. Spotted by Joon Lee.
39
 *
40 4 sybreon
 * Revision 1.1  2007/03/09 17:52:17  sybreon
41
 * initial import
42 3 sybreon
 *
43 4 sybreon
 *
44 3 sybreon
 */
45
 
46
module aeMB_regfile(/*AUTOARG*/
47
   // Outputs
48
   dwb_dat_o, rREGA, rREGB,
49
   // Inputs
50
   dwb_dat_i, rDWBSTB, rDWBWE, rRA, rRB, rRD, rRD_, rRESULT, rFSM,
51
   rPC, rPCNXT, rLNK, rRWE, nclk, nrst, drun, drst
52
   );
53
   // Data WB bus width
54
   parameter DSIZ = 32;
55
 
56
   // Data WB I/F
57
   output [31:0] dwb_dat_o;
58
   input [31:0]  dwb_dat_i;
59
 
60
   // Internal I/F
61
   output [31:0] rREGA, rREGB;
62
   input         rDWBSTB, rDWBWE;
63
   input [4:0]    rRA, rRB, rRD, rRD_;
64
   input [31:0]  rRESULT;
65
   input [1:0]    rFSM;
66
   input [31:0]  rPC, rPCNXT;
67
   input         rLNK, rRWE;
68
   input         nclk, nrst, drun, drst;
69
 
70
   // Register File
71
   reg [31:0]     r00,r01,r02,r03,r04,r05,r06,r07;
72
   reg [31:0]     r08,r09,r0A,r0B,r0C,r0D,r0E,r0F;
73
   reg [31:0]     r10,r11,r12,r13,r14,r15,r16,r17;
74
   reg [31:0]     r18,r19,r1A,r1B,r1C,r1D,r1E,r1F;
75
 
76
   // FLAGS
77 4 sybreon
   wire fWE = rRWE & ~rDWBWE;
78 3 sybreon
   wire fLNK = rLNK;
79
   wire fLD = rDWBSTB ^ rDWBWE;
80 4 sybreon
 
81 3 sybreon
   // PC Latch
82
   reg [31:0]     rPC_;
83
   always @(negedge nclk or negedge nrst)
84
     if (!nrst) begin
85
        /*AUTORESET*/
86
        // Beginning of autoreset for uninitialized flops
87
        rPC_ <= 32'h0;
88
        // End of automatics
89
     end else begin
90
        rPC_ <= #1 rPC;
91
     end
92
 
93
   // DWB data - Endian Correction
94
   reg [31:0]     rDWBDAT;
95
   wire          fDFWD = (rRD == rRD_) & fWE;
96 5 sybreon
   //assign      dwb_dat_o = rDWBDAT;
97
   //wire [31:0]         wDWBDAT = dwb_dat_i;
98
   assign        dwb_dat_o = {rDWBDAT[7:0],rDWBDAT[15:8],rDWBDAT[23:16],rDWBDAT[31:24]};
99
   wire [31:0]    wDWBDAT = {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]};
100 3 sybreon
 
101
   always @(negedge nclk or negedge nrst)
102
     if (!nrst) begin
103
        /*AUTORESET*/
104
        // Beginning of autoreset for uninitialized flops
105
        rDWBDAT <= 32'h0;
106
        // End of automatics
107
     end else if (drun) begin
108
        case (rRD)
109
          5'h00: rDWBDAT <= #1 (fDFWD) ? rRESULT : r00;
110
          5'h01: rDWBDAT <= #1 (fDFWD) ? rRESULT : r01;
111
          5'h02: rDWBDAT <= #1 (fDFWD) ? rRESULT : r02;
112
          5'h03: rDWBDAT <= #1 (fDFWD) ? rRESULT : r03;
113
          5'h04: rDWBDAT <= #1 (fDFWD) ? rRESULT : r04;
114
          5'h05: rDWBDAT <= #1 (fDFWD) ? rRESULT : r05;
115
          5'h06: rDWBDAT <= #1 (fDFWD) ? rRESULT : r06;
116
          5'h07: rDWBDAT <= #1 (fDFWD) ? rRESULT : r07;
117
          5'h08: rDWBDAT <= #1 (fDFWD) ? rRESULT : r08;
118
          5'h09: rDWBDAT <= #1 (fDFWD) ? rRESULT : r09;
119
          5'h0A: rDWBDAT <= #1 (fDFWD) ? rRESULT : r0A;
120
          5'h0B: rDWBDAT <= #1 (fDFWD) ? rRESULT : r0B;
121
          5'h0C: rDWBDAT <= #1 (fDFWD) ? rRESULT : r0C;
122
          5'h0D: rDWBDAT <= #1 (fDFWD) ? rRESULT : r0D;
123
          5'h0E: rDWBDAT <= #1 (fDFWD) ? rRESULT : r0E;
124
          5'h0F: rDWBDAT <= #1 (fDFWD) ? rRESULT : r0F;
125
          5'h10: rDWBDAT <= #1 (fDFWD) ? rRESULT : r10;
126
          5'h11: rDWBDAT <= #1 (fDFWD) ? rRESULT : r11;
127
          5'h12: rDWBDAT <= #1 (fDFWD) ? rRESULT : r12;
128
          5'h13: rDWBDAT <= #1 (fDFWD) ? rRESULT : r13;
129
          5'h14: rDWBDAT <= #1 (fDFWD) ? rRESULT : r14;
130
          5'h15: rDWBDAT <= #1 (fDFWD) ? rRESULT : r15;
131
          5'h16: rDWBDAT <= #1 (fDFWD) ? rRESULT : r16;
132
          5'h17: rDWBDAT <= #1 (fDFWD) ? rRESULT : r17;
133
          5'h18: rDWBDAT <= #1 (fDFWD) ? rRESULT : r18;
134
          5'h19: rDWBDAT <= #1 (fDFWD) ? rRESULT : r19;
135
          5'h1A: rDWBDAT <= #1 (fDFWD) ? rRESULT : r1A;
136
          5'h1B: rDWBDAT <= #1 (fDFWD) ? rRESULT : r1B;
137
          5'h1C: rDWBDAT <= #1 (fDFWD) ? rRESULT : r1C;
138
          5'h1D: rDWBDAT <= #1 (fDFWD) ? rRESULT : r1D;
139
          5'h1E: rDWBDAT <= #1 (fDFWD) ? rRESULT : r1E;
140
          5'h1F: rDWBDAT <= #1 (fDFWD) ? rRESULT : r1F;
141 4 sybreon
        endcase // case (rRD)
142 3 sybreon
     end else begin // if (drun)
143
        /*AUTORESET*/
144
        // Beginning of autoreset for uninitialized flops
145
        rDWBDAT <= 32'h0;
146
        // End of automatics
147 4 sybreon
     end // else: !if(drun)
148 5 sybreon
 
149 3 sybreon
   // Load Registers
150
   reg [31:0]         rREGA, rREGB;
151
   always @(posedge nclk or negedge nrst)
152
     if (!nrst) begin
153
        /*AUTORESET*/
154
        // Beginning of autoreset for uninitialized flops
155
        rREGA <= 32'h0;
156
        rREGB <= 32'h0;
157
        // End of automatics
158
     end else if (drun) begin
159
        case (rRA)
160
          5'h1F: rREGA <= #1 r1F;
161
          5'h1E: rREGA <= #1 r1E;
162
          5'h1D: rREGA <= #1 r1D;
163
          5'h1C: rREGA <= #1 r1C;
164
          5'h1B: rREGA <= #1 r1B;
165
          5'h1A: rREGA <= #1 r1A;
166
          5'h19: rREGA <= #1 r19;
167
          5'h18: rREGA <= #1 r18;
168
          5'h17: rREGA <= #1 r17;
169
          5'h16: rREGA <= #1 r16;
170
          5'h15: rREGA <= #1 r15;
171
          5'h14: rREGA <= #1 r14;
172
          5'h13: rREGA <= #1 r13;
173
          5'h12: rREGA <= #1 r12;
174
          5'h11: rREGA <= #1 r11;
175
          5'h10: rREGA <= #1 r10;
176
          5'h0F: rREGA <= #1 r0F;
177
          5'h0E: rREGA <= #1 r0E;
178
          5'h0D: rREGA <= #1 r0D;
179
          5'h0C: rREGA <= #1 r0C;
180
          5'h0B: rREGA <= #1 r0B;
181
          5'h0A: rREGA <= #1 r0A;
182
          5'h09: rREGA <= #1 r09;
183
          5'h08: rREGA <= #1 r08;
184
          5'h07: rREGA <= #1 r07;
185
          5'h06: rREGA <= #1 r06;
186
          5'h05: rREGA <= #1 r05;
187
          5'h04: rREGA <= #1 r04;
188
          5'h03: rREGA <= #1 r03;
189
          5'h02: rREGA <= #1 r02;
190
          5'h01: rREGA <= #1 r01;
191
          5'h00: rREGA <= #1 r00;
192 4 sybreon
        endcase // case (rRA)
193 3 sybreon
 
194
        case (rRB)
195
          5'h1F: rREGB <= #1 r1F;
196
          5'h1E: rREGB <= #1 r1E;
197
          5'h1D: rREGB <= #1 r1D;
198
          5'h1C: rREGB <= #1 r1C;
199
          5'h1B: rREGB <= #1 r1B;
200
          5'h1A: rREGB <= #1 r1A;
201
          5'h19: rREGB <= #1 r19;
202
          5'h18: rREGB <= #1 r18;
203
          5'h17: rREGB <= #1 r17;
204
          5'h16: rREGB <= #1 r16;
205
          5'h15: rREGB <= #1 r15;
206
          5'h14: rREGB <= #1 r14;
207
          5'h13: rREGB <= #1 r13;
208
          5'h12: rREGB <= #1 r12;
209
          5'h11: rREGB <= #1 r11;
210
          5'h10: rREGB <= #1 r10;
211
          5'h0F: rREGB <= #1 r0F;
212
          5'h0E: rREGB <= #1 r0E;
213
          5'h0D: rREGB <= #1 r0D;
214
          5'h0C: rREGB <= #1 r0C;
215
          5'h0B: rREGB <= #1 r0B;
216
          5'h0A: rREGB <= #1 r0A;
217
          5'h09: rREGB <= #1 r09;
218
          5'h08: rREGB <= #1 r08;
219
          5'h07: rREGB <= #1 r07;
220
          5'h06: rREGB <= #1 r06;
221
          5'h05: rREGB <= #1 r05;
222
          5'h04: rREGB <= #1 r04;
223
          5'h03: rREGB <= #1 r03;
224
          5'h02: rREGB <= #1 r02;
225
          5'h01: rREGB <= #1 r01;
226
          5'h00: rREGB <= #1 r00;
227 4 sybreon
        endcase // case (rRB)
228 3 sybreon
     end else begin // if (drun)
229
        /*AUTORESET*/
230
        // Beginning of autoreset for uninitialized flops
231
        rREGA <= 32'h0;
232
        rREGB <= 32'h0;
233
        // End of automatics
234 4 sybreon
     end // else: !if(drun)
235 3 sybreon
 
236
 
237
   // Normal Registers
238
   wire fR00 = (rRD_ == 5'h00);
239
   wire fR01 = (rRD_ == 5'h01);
240
   wire fR02 = (rRD_ == 5'h02);
241
   wire fR03 = (rRD_ == 5'h03);
242
   wire fR04 = (rRD_ == 5'h04);
243
   wire fR05 = (rRD_ == 5'h05);
244
   wire fR06 = (rRD_ == 5'h06);
245
   wire fR07 = (rRD_ == 5'h07);
246
   wire fR08 = (rRD_ == 5'h08);
247
   wire fR09 = (rRD_ == 5'h09);
248
   wire fR0A = (rRD_ == 5'h0A);
249
   wire fR0B = (rRD_ == 5'h0B);
250
   wire fR0C = (rRD_ == 5'h0C);
251
   wire fR0D = (rRD_ == 5'h0D);
252
   wire fR0E = (rRD_ == 5'h0E);
253
   wire fR0F = (rRD_ == 5'h0F);
254
   wire fR10 = (rRD_ == 5'h10);
255
   wire fR11 = (rRD_ == 5'h11);
256
   wire fR12 = (rRD_ == 5'h12);
257
   wire fR13 = (rRD_ == 5'h13);
258
   wire fR14 = (rRD_ == 5'h14);
259
   wire fR15 = (rRD_ == 5'h15);
260
   wire fR16 = (rRD_ == 5'h16);
261
   wire fR17 = (rRD_ == 5'h17);
262
   wire fR18 = (rRD_ == 5'h18);
263
   wire fR19 = (rRD_ == 5'h19);
264
   wire fR1A = (rRD_ == 5'h1A);
265
   wire fR1B = (rRD_ == 5'h1B);
266
   wire fR1C = (rRD_ == 5'h1C);
267
   wire fR1D = (rRD_ == 5'h1D);
268
   wire fR1E = (rRD_ == 5'h1E);
269
   wire fR1F = (rRD_ == 5'h1F);
270
 
271
   always @(negedge nclk or negedge nrst)
272
     if (!nrst) begin
273
        /*AUTORESET*/
274
        // Beginning of autoreset for uninitialized flops
275
        r01 <= 32'h0;
276
        r02 <= 32'h0;
277
        r03 <= 32'h0;
278
        r04 <= 32'h0;
279
        r05 <= 32'h0;
280
        r06 <= 32'h0;
281
        r07 <= 32'h0;
282
        r08 <= 32'h0;
283
        r09 <= 32'h0;
284
        r0A <= 32'h0;
285
        r0B <= 32'h0;
286
        r0C <= 32'h0;
287
        r0D <= 32'h0;
288
        r0F <= 32'h0;
289
        r10 <= 32'h0;
290
        r12 <= 32'h0;
291
        r13 <= 32'h0;
292
        r14 <= 32'h0;
293
        r15 <= 32'h0;
294
        r16 <= 32'h0;
295
        r17 <= 32'h0;
296
        r18 <= 32'h0;
297
        r19 <= 32'h0;
298
        r1A <= 32'h0;
299
        r1B <= 32'h0;
300
        r1C <= 32'h0;
301
        r1D <= 32'h0;
302
        r1E <= 32'h0;
303
        r1F <= 32'h0;
304
        // End of automatics
305
     end else begin // if (!nrst)
306
        r01 <= #1 (!fR01) ? r01 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r01;
307
        r02 <= #1 (!fR02) ? r02 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r02;
308
        r03 <= #1 (!fR03) ? r03 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r03;
309
        r04 <= #1 (!fR04) ? r04 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r04;
310
        r05 <= #1 (!fR05) ? r05 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r05;
311
        r06 <= #1 (!fR06) ? r06 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r06;
312
        r07 <= #1 (!fR07) ? r07 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r07;
313
        r08 <= #1 (!fR08) ? r08 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r08;
314
        r09 <= #1 (!fR09) ? r09 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r09;
315
        r0A <= #1 (!fR0A) ? r0A : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0A;
316
        r0B <= #1 (!fR0B) ? r0B : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0B;
317
        r0C <= #1 (!fR0C) ? r0C : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0C;
318
        r0D <= #1 (!fR0D) ? r0D : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0D;
319
        r0F <= #1 (!fR0F) ? r0F : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0F;
320
        r10 <= #1 (!fR10) ? r10 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r10;
321
        r12 <= #1 (!fR12) ? r12 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r12;
322
        r13 <= #1 (!fR13) ? r13 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r13;
323
 
324
        r14 <= #1 (rFSM == 2'h1) ? rPCNXT : (!fR14) ? r14 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r14;
325
 
326
        r15 <= #1 (!fR15) ? r15 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r15;
327
        r16 <= #1 (!fR16) ? r16 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r16;
328
 
329
        r17 <= #1 (rFSM == 2'h2) ? rPCNXT : (!fR17) ? r17 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r17;
330
 
331
        r18 <= #1 (!fR18) ? r18 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r18;
332
        r19 <= #1 (!fR19) ? r19 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r19;
333
        r1A <= #1 (!fR1A) ? r1A : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1A;
334
        r1B <= #1 (!fR1B) ? r1B : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1B;
335
        r1C <= #1 (!fR1C) ? r1C : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1C;
336
        r1D <= #1 (!fR1D) ? r1D : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1D;
337
        r1E <= #1 (!fR1E) ? r1E : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1E;
338
        r1F <= #1 (!fR1F) ? r1F : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1F;
339
 
340
        /*
341
        r01 <= #1 (!fR01) ? r01 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r01;
342
        r02 <= #1 (fR02 & fLD) ? wDWBDAT : (fR02 & fLNK) ? rPC_ : (fR02 & fWE) ? rRESULT : r02;
343
        r03 <= #1 (fR03 & fLD) ? wDWBDAT : (fR03 & fLNK) ? rPC_ : (fR03 & fWE) ? rRESULT : r03;
344
        r04 <= #1 (fR04 & fLD) ? wDWBDAT : (fR04 & fLNK) ? rPC_ : (fR04 & fWE) ? rRESULT : r04;
345
        r05 <= #1 (fR05 & fLD) ? wDWBDAT : (fR05 & fLNK) ? rPC_ : (fR05 & fWE) ? rRESULT : r05;
346
        r06 <= #1 (fR06 & fLD) ? wDWBDAT : (fR06 & fLNK) ? rPC_ : (fR06 & fWE) ? rRESULT : r06;
347
        r07 <= #1 (fR07 & fLD) ? wDWBDAT : (fR07 & fLNK) ? rPC_ : (fR07 & fWE) ? rRESULT : r07;
348
        r08 <= #1 (fR08 & fLD) ? wDWBDAT : (fR08 & fLNK) ? rPC_ : (fR08 & fWE) ? rRESULT : r08;
349
        r09 <= #1 (fR09 & fLD) ? wDWBDAT : (fR09 & fLNK) ? rPC_ : (fR09 & fWE) ? rRESULT : r09;
350
        r0A <= #1 (fR0A & fLD) ? wDWBDAT : (fR0A & fLNK) ? rPC_ : (fR0A & fWE) ? rRESULT : r0A;
351
        r0B <= #1 (fR0B & fLD) ? wDWBDAT : (fR0B & fLNK) ? rPC_ : (fR0B & fWE) ? rRESULT : r0B;
352
        r0C <= #1 (fR0C & fLD) ? wDWBDAT : (fR0C & fLNK) ? rPC_ : (fR0C & fWE) ? rRESULT : r0C;
353
        r0D <= #1 (fR0D & fLD) ? wDWBDAT : (fR0D & fLNK) ? rPC_ : (fR0D & fWE) ? rRESULT : r0D;
354
        //r0E <= #1 (fR0E & fLD) ? wDWBDAT : (fR0E & fLNK) ? rPC_ : (fR0E & fWE) ? rRESULT : r0E;
355
        r0F <= #1 (fR0F & fLD) ? wDWBDAT : (fR0F & fLNK) ? rPC_ : (fR0F & fWE) ? rRESULT : r0F;
356
        r10 <= #1 (fR10 & fLD) ? wDWBDAT : (fR10 & fLNK) ? rPC_ : (fR10 & fWE) ? rRESULT : r10;
357
        //r11 <= #1 (fR11 & fLD) ? wDWBDAT : (fR11 & fLNK) ? rPC_ : (fR11 & fWE) ? rRESULT : r11;
358
        r12 <= #1 (fR12 & fLD) ? wDWBDAT : (fR12 & fLNK) ? rPC_ : (fR12 & fWE) ? rRESULT : r12;
359
        r13 <= #1 (fR13 & fLD) ? wDWBDAT : (fR13 & fLNK) ? rPC_ : (fR13 & fWE) ? rRESULT : r13;
360
        r14 <= #1 (fR14 & fLD) ? wDWBDAT : (fR14 & fLNK) ? rPC_ : (fR14 & fWE) ? rRESULT : r14;
361
        r15 <= #1 (fR15 & fLD) ? wDWBDAT : (fR15 & fLNK) ? rPC_ : (fR15 & fWE) ? rRESULT : r15;
362
        r16 <= #1 (fR16 & fLD) ? wDWBDAT : (fR16 & fLNK) ? rPC_ : (fR16 & fWE) ? rRESULT : r16;
363
        r17 <= #1 (fR17 & fLD) ? wDWBDAT : (fR17 & fLNK) ? rPC_ : (fR17 & fWE) ? rRESULT : r17;
364
        r18 <= #1 (fR18 & fLD) ? wDWBDAT : (fR18 & fLNK) ? rPC_ : (fR18 & fWE) ? rRESULT : r18;
365
        r19 <= #1 (fR19 & fLD) ? wDWBDAT : (fR19 & fLNK) ? rPC_ : (fR19 & fWE) ? rRESULT : r19;
366
        r1A <= #1 (fR1A & fLD) ? wDWBDAT : (fR1A & fLNK) ? rPC_ : (fR1A & fWE) ? rRESULT : r1A;
367
        r1B <= #1 (fR1B & fLD) ? wDWBDAT : (fR1B & fLNK) ? rPC_ : (fR1B & fWE) ? rRESULT : r1B;
368
        r1C <= #1 (fR1C & fLD) ? wDWBDAT : (fR1C & fLNK) ? rPC_ : (fR1C & fWE) ? rRESULT : r1C;
369
        r1D <= #1 (fR1D & fLD) ? wDWBDAT : (fR1D & fLNK) ? rPC_ : (fR1D & fWE) ? rRESULT : r1D;
370
        r1E <= #1 (fR1E & fLD) ? wDWBDAT : (fR1E & fLNK) ? rPC_ : (fR1E & fWE) ? rRESULT : r1E;
371
        r1F <= #1 (fR1F & fLD) ? wDWBDAT : (fR1F & fLNK) ? rPC_ : (fR1F & fWE) ? rRESULT : r1F;
372
         */
373 4 sybreon
     end // else: !if(!nrst)
374 3 sybreon
 
375
   // Special Registers
376
   always @(negedge nclk or negedge nrst)
377
     if (!nrst) begin
378
        /*AUTORESET*/
379
        // Beginning of autoreset for uninitialized flops
380
        r00 <= 32'h0;
381
        r0E <= 32'h0;
382
        r11 <= 32'h0;
383
        // End of automatics
384
     end else begin
385
        // R00 - Zero
386
        r00 <= #1 r00;
387
        // R0E - Interrupt
388
        r0E <= #1 (rFSM == 2'b11) ? rPC : // Needs verification
389
               (!fR0E) ? r0E : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0E;
390
        // R11 - Exception
391
        r11 <= #1 (rFSM == 2'b10) ? rPC : // Needs verification
392
               (!fR11) ? r11 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r11;
393 4 sybreon
     end // else: !if(!nrst)
394 5 sybreon
 
395
 
396
   // Simulation ONLY
397
   always @(negedge nclk) begin
398
      if ((fWE & (rRD_== 5'd0)) || (fLNK & (rRD_== 5'd0)) || (fLD & (rRD_== 5'd0))) $displayh("!!! Warning: Write to R0.");
399
   end
400 3 sybreon
 
401 5 sybreon
 
402 3 sybreon
endmodule // aeMB_regfile
403
 
404
// Local Variables:
405
// verilog-library-directories:(".")
406
// verilog-library-files:("")
407
// End:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.