OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [tags/] [AEMB_7_05/] [rtl/] [verilog/] [aeMB_regfile.v] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 sybreon
//                              -*- Mode: Verilog -*-
2
// Filename        : aeMB_regfile.v
3
// Description     : AEMB Register File
4
// Author          : Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
5
// Created On      : Fri Dec 29 16:17:31 2006
6 8 sybreon
// Last Modified By: $Author: sybreon $
7
// Last Modified On: $Date: 2007-04-04 06:11:47 $
8
// Update Count    : $Revision: 1.4 $
9
// Status          : $State: Exp $
10 3 sybreon
 
11
/*
12 8 sybreon
 * $Id: aeMB_regfile.v,v 1.4 2007-04-04 06:11:47 sybreon Exp $
13 3 sybreon
 *
14 8 sybreon
 * AEMB Register File
15 3 sybreon
 * Copyright (C) 2006 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
16
 *
17
 * This library is free software; you can redistribute it and/or modify it
18
 * under the terms of the GNU Lesser General Public License as published by
19
 * the Free Software Foundation; either version 2.1 of the License,
20
 * or (at your option) any later version.
21
 *
22
 * This library is distributed in the hope that it will be useful, but
23
 * WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
24
 * or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
25
 * License for more details.
26
 *
27
 * You should have received a copy of the GNU Lesser General Public License
28
 * along with this library; if not, write to the Free Software Foundation, Inc.,
29
 * 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
30
 *
31
 * DESCRIPTION
32
 * Implements the 32 registers as registers. Some registers require
33
 * special actions during hardware exception/interrupts. Data forwarding
34
 * is also taken care of inside here to simplify decode logic.
35
 *
36
 * HISTORY
37
 * $Log: not supported by cvs2svn $
38 8 sybreon
 * Revision 1.3  2007/04/03 14:46:26  sybreon
39
 * Fixed endian correction issues on data bus.
40
 *
41 5 sybreon
 * Revision 1.2  2007/03/26 12:21:31  sybreon
42
 * Fixed a minor bug where RD is trashed by a STORE instruction. Spotted by Joon Lee.
43
 *
44 4 sybreon
 * Revision 1.1  2007/03/09 17:52:17  sybreon
45
 * initial import
46 3 sybreon
 *
47
 */
48
 
49
module aeMB_regfile(/*AUTOARG*/
50
   // Outputs
51
   dwb_dat_o, rREGA, rREGB,
52
   // Inputs
53
   dwb_dat_i, rDWBSTB, rDWBWE, rRA, rRB, rRD, rRD_, rRESULT, rFSM,
54
   rPC, rPCNXT, rLNK, rRWE, nclk, nrst, drun, drst
55
   );
56
   // Data WB bus width
57
   parameter DSIZ = 32;
58
 
59
   // Data WB I/F
60
   output [31:0] dwb_dat_o;
61
   input [31:0]  dwb_dat_i;
62
 
63
   // Internal I/F
64
   output [31:0] rREGA, rREGB;
65
   input         rDWBSTB, rDWBWE;
66
   input [4:0]    rRA, rRB, rRD, rRD_;
67
   input [31:0]  rRESULT;
68
   input [1:0]    rFSM;
69
   input [31:0]  rPC, rPCNXT;
70
   input         rLNK, rRWE;
71
   input         nclk, nrst, drun, drst;
72
 
73
   // Register File
74
   reg [31:0]     r00,r01,r02,r03,r04,r05,r06,r07;
75
   reg [31:0]     r08,r09,r0A,r0B,r0C,r0D,r0E,r0F;
76
   reg [31:0]     r10,r11,r12,r13,r14,r15,r16,r17;
77
   reg [31:0]     r18,r19,r1A,r1B,r1C,r1D,r1E,r1F;
78
 
79
   // FLAGS
80 4 sybreon
   wire fWE = rRWE & ~rDWBWE;
81 3 sybreon
   wire fLNK = rLNK;
82
   wire fLD = rDWBSTB ^ rDWBWE;
83 4 sybreon
 
84 3 sybreon
   // PC Latch
85
   reg [31:0]     rPC_;
86
   always @(negedge nclk or negedge nrst)
87
     if (!nrst) begin
88
        /*AUTORESET*/
89
        // Beginning of autoreset for uninitialized flops
90
        rPC_ <= 32'h0;
91
        // End of automatics
92
     end else begin
93
        rPC_ <= #1 rPC;
94
     end
95
 
96
   // DWB data - Endian Correction
97
   reg [31:0]     rDWBDAT;
98 5 sybreon
   //assign      dwb_dat_o = rDWBDAT;
99
   //wire [31:0]         wDWBDAT = dwb_dat_i;
100
   assign        dwb_dat_o = {rDWBDAT[7:0],rDWBDAT[15:8],rDWBDAT[23:16],rDWBDAT[31:24]};
101
   wire [31:0]    wDWBDAT = {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]};
102 8 sybreon
 
103
   // Forwarding Control
104
   wire          fDFWD = (rRD == rRD_) & fWE;
105
   wire          fMFWD = rDWBSTB & ~rDWBWE;
106
   wire [31:0]    wRESULT = (fMFWD) ? wDWBDAT : rRESULT;
107
 
108
   // Register Load
109 3 sybreon
   always @(negedge nclk or negedge nrst)
110
     if (!nrst) begin
111
        /*AUTORESET*/
112
        // Beginning of autoreset for uninitialized flops
113
        rDWBDAT <= 32'h0;
114
        // End of automatics
115
     end else if (drun) begin
116
        case (rRD)
117 8 sybreon
          5'h00: rDWBDAT <= #1 (fDFWD) ? wRESULT : r00;
118
          5'h01: rDWBDAT <= #1 (fDFWD) ? wRESULT : r01;
119
          5'h02: rDWBDAT <= #1 (fDFWD) ? wRESULT : r02;
120
          5'h03: rDWBDAT <= #1 (fDFWD) ? wRESULT : r03;
121
          5'h04: rDWBDAT <= #1 (fDFWD) ? wRESULT : r04;
122
          5'h05: rDWBDAT <= #1 (fDFWD) ? wRESULT : r05;
123
          5'h06: rDWBDAT <= #1 (fDFWD) ? wRESULT : r06;
124
          5'h07: rDWBDAT <= #1 (fDFWD) ? wRESULT : r07;
125
          5'h08: rDWBDAT <= #1 (fDFWD) ? wRESULT : r08;
126
          5'h09: rDWBDAT <= #1 (fDFWD) ? wRESULT : r09;
127
          5'h0A: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0A;
128
          5'h0B: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0B;
129
          5'h0C: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0C;
130
          5'h0D: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0D;
131
          5'h0E: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0E;
132
          5'h0F: rDWBDAT <= #1 (fDFWD) ? wRESULT : r0F;
133
          5'h10: rDWBDAT <= #1 (fDFWD) ? wRESULT : r10;
134
          5'h11: rDWBDAT <= #1 (fDFWD) ? wRESULT : r11;
135
          5'h12: rDWBDAT <= #1 (fDFWD) ? wRESULT : r12;
136
          5'h13: rDWBDAT <= #1 (fDFWD) ? wRESULT : r13;
137
          5'h14: rDWBDAT <= #1 (fDFWD) ? wRESULT : r14;
138
          5'h15: rDWBDAT <= #1 (fDFWD) ? wRESULT : r15;
139
          5'h16: rDWBDAT <= #1 (fDFWD) ? wRESULT : r16;
140
          5'h17: rDWBDAT <= #1 (fDFWD) ? wRESULT : r17;
141
          5'h18: rDWBDAT <= #1 (fDFWD) ? wRESULT : r18;
142
          5'h19: rDWBDAT <= #1 (fDFWD) ? wRESULT : r19;
143
          5'h1A: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1A;
144
          5'h1B: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1B;
145
          5'h1C: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1C;
146
          5'h1D: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1D;
147
          5'h1E: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1E;
148
          5'h1F: rDWBDAT <= #1 (fDFWD) ? wRESULT : r1F;
149 4 sybreon
        endcase // case (rRD)
150 3 sybreon
     end else begin // if (drun)
151
        /*AUTORESET*/
152
        // Beginning of autoreset for uninitialized flops
153
        rDWBDAT <= 32'h0;
154
        // End of automatics
155 4 sybreon
     end // else: !if(drun)
156 5 sybreon
 
157 3 sybreon
   // Load Registers
158
   reg [31:0]         rREGA, rREGB;
159
   always @(posedge nclk or negedge nrst)
160
     if (!nrst) begin
161
        /*AUTORESET*/
162
        // Beginning of autoreset for uninitialized flops
163
        rREGA <= 32'h0;
164
        rREGB <= 32'h0;
165
        // End of automatics
166
     end else if (drun) begin
167
        case (rRA)
168
          5'h1F: rREGA <= #1 r1F;
169
          5'h1E: rREGA <= #1 r1E;
170
          5'h1D: rREGA <= #1 r1D;
171
          5'h1C: rREGA <= #1 r1C;
172
          5'h1B: rREGA <= #1 r1B;
173
          5'h1A: rREGA <= #1 r1A;
174
          5'h19: rREGA <= #1 r19;
175
          5'h18: rREGA <= #1 r18;
176
          5'h17: rREGA <= #1 r17;
177
          5'h16: rREGA <= #1 r16;
178
          5'h15: rREGA <= #1 r15;
179
          5'h14: rREGA <= #1 r14;
180
          5'h13: rREGA <= #1 r13;
181
          5'h12: rREGA <= #1 r12;
182
          5'h11: rREGA <= #1 r11;
183
          5'h10: rREGA <= #1 r10;
184
          5'h0F: rREGA <= #1 r0F;
185
          5'h0E: rREGA <= #1 r0E;
186
          5'h0D: rREGA <= #1 r0D;
187
          5'h0C: rREGA <= #1 r0C;
188
          5'h0B: rREGA <= #1 r0B;
189
          5'h0A: rREGA <= #1 r0A;
190
          5'h09: rREGA <= #1 r09;
191
          5'h08: rREGA <= #1 r08;
192
          5'h07: rREGA <= #1 r07;
193
          5'h06: rREGA <= #1 r06;
194
          5'h05: rREGA <= #1 r05;
195
          5'h04: rREGA <= #1 r04;
196
          5'h03: rREGA <= #1 r03;
197
          5'h02: rREGA <= #1 r02;
198
          5'h01: rREGA <= #1 r01;
199
          5'h00: rREGA <= #1 r00;
200 4 sybreon
        endcase // case (rRA)
201 3 sybreon
 
202
        case (rRB)
203
          5'h1F: rREGB <= #1 r1F;
204
          5'h1E: rREGB <= #1 r1E;
205
          5'h1D: rREGB <= #1 r1D;
206
          5'h1C: rREGB <= #1 r1C;
207
          5'h1B: rREGB <= #1 r1B;
208
          5'h1A: rREGB <= #1 r1A;
209
          5'h19: rREGB <= #1 r19;
210
          5'h18: rREGB <= #1 r18;
211
          5'h17: rREGB <= #1 r17;
212
          5'h16: rREGB <= #1 r16;
213
          5'h15: rREGB <= #1 r15;
214
          5'h14: rREGB <= #1 r14;
215
          5'h13: rREGB <= #1 r13;
216
          5'h12: rREGB <= #1 r12;
217
          5'h11: rREGB <= #1 r11;
218
          5'h10: rREGB <= #1 r10;
219
          5'h0F: rREGB <= #1 r0F;
220
          5'h0E: rREGB <= #1 r0E;
221
          5'h0D: rREGB <= #1 r0D;
222
          5'h0C: rREGB <= #1 r0C;
223
          5'h0B: rREGB <= #1 r0B;
224
          5'h0A: rREGB <= #1 r0A;
225
          5'h09: rREGB <= #1 r09;
226
          5'h08: rREGB <= #1 r08;
227
          5'h07: rREGB <= #1 r07;
228
          5'h06: rREGB <= #1 r06;
229
          5'h05: rREGB <= #1 r05;
230
          5'h04: rREGB <= #1 r04;
231
          5'h03: rREGB <= #1 r03;
232
          5'h02: rREGB <= #1 r02;
233
          5'h01: rREGB <= #1 r01;
234
          5'h00: rREGB <= #1 r00;
235 4 sybreon
        endcase // case (rRB)
236 3 sybreon
     end else begin // if (drun)
237
        /*AUTORESET*/
238
        // Beginning of autoreset for uninitialized flops
239
        rREGA <= 32'h0;
240
        rREGB <= 32'h0;
241
        // End of automatics
242 4 sybreon
     end // else: !if(drun)
243 3 sybreon
 
244
 
245
   // Normal Registers
246
   wire fR00 = (rRD_ == 5'h00);
247
   wire fR01 = (rRD_ == 5'h01);
248
   wire fR02 = (rRD_ == 5'h02);
249
   wire fR03 = (rRD_ == 5'h03);
250
   wire fR04 = (rRD_ == 5'h04);
251
   wire fR05 = (rRD_ == 5'h05);
252
   wire fR06 = (rRD_ == 5'h06);
253
   wire fR07 = (rRD_ == 5'h07);
254
   wire fR08 = (rRD_ == 5'h08);
255
   wire fR09 = (rRD_ == 5'h09);
256
   wire fR0A = (rRD_ == 5'h0A);
257
   wire fR0B = (rRD_ == 5'h0B);
258
   wire fR0C = (rRD_ == 5'h0C);
259
   wire fR0D = (rRD_ == 5'h0D);
260
   wire fR0E = (rRD_ == 5'h0E);
261
   wire fR0F = (rRD_ == 5'h0F);
262
   wire fR10 = (rRD_ == 5'h10);
263
   wire fR11 = (rRD_ == 5'h11);
264
   wire fR12 = (rRD_ == 5'h12);
265
   wire fR13 = (rRD_ == 5'h13);
266
   wire fR14 = (rRD_ == 5'h14);
267
   wire fR15 = (rRD_ == 5'h15);
268
   wire fR16 = (rRD_ == 5'h16);
269
   wire fR17 = (rRD_ == 5'h17);
270
   wire fR18 = (rRD_ == 5'h18);
271
   wire fR19 = (rRD_ == 5'h19);
272
   wire fR1A = (rRD_ == 5'h1A);
273
   wire fR1B = (rRD_ == 5'h1B);
274
   wire fR1C = (rRD_ == 5'h1C);
275
   wire fR1D = (rRD_ == 5'h1D);
276
   wire fR1E = (rRD_ == 5'h1E);
277
   wire fR1F = (rRD_ == 5'h1F);
278
 
279
   always @(negedge nclk or negedge nrst)
280
     if (!nrst) begin
281
        /*AUTORESET*/
282
        // Beginning of autoreset for uninitialized flops
283
        r01 <= 32'h0;
284
        r02 <= 32'h0;
285
        r03 <= 32'h0;
286
        r04 <= 32'h0;
287
        r05 <= 32'h0;
288
        r06 <= 32'h0;
289
        r07 <= 32'h0;
290
        r08 <= 32'h0;
291
        r09 <= 32'h0;
292
        r0A <= 32'h0;
293
        r0B <= 32'h0;
294
        r0C <= 32'h0;
295
        r0D <= 32'h0;
296
        r0F <= 32'h0;
297
        r10 <= 32'h0;
298
        r12 <= 32'h0;
299
        r13 <= 32'h0;
300
        r14 <= 32'h0;
301
        r15 <= 32'h0;
302
        r16 <= 32'h0;
303
        r17 <= 32'h0;
304
        r18 <= 32'h0;
305
        r19 <= 32'h0;
306
        r1A <= 32'h0;
307
        r1B <= 32'h0;
308
        r1C <= 32'h0;
309
        r1D <= 32'h0;
310
        r1E <= 32'h0;
311
        r1F <= 32'h0;
312
        // End of automatics
313
     end else begin // if (!nrst)
314
        r01 <= #1 (!fR01) ? r01 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r01;
315
        r02 <= #1 (!fR02) ? r02 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r02;
316
        r03 <= #1 (!fR03) ? r03 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r03;
317
        r04 <= #1 (!fR04) ? r04 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r04;
318
        r05 <= #1 (!fR05) ? r05 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r05;
319
        r06 <= #1 (!fR06) ? r06 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r06;
320
        r07 <= #1 (!fR07) ? r07 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r07;
321
        r08 <= #1 (!fR08) ? r08 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r08;
322
        r09 <= #1 (!fR09) ? r09 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r09;
323
        r0A <= #1 (!fR0A) ? r0A : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0A;
324
        r0B <= #1 (!fR0B) ? r0B : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0B;
325
        r0C <= #1 (!fR0C) ? r0C : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0C;
326
        r0D <= #1 (!fR0D) ? r0D : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0D;
327
        r0F <= #1 (!fR0F) ? r0F : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0F;
328
        r10 <= #1 (!fR10) ? r10 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r10;
329
        r12 <= #1 (!fR12) ? r12 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r12;
330
        r13 <= #1 (!fR13) ? r13 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r13;
331
 
332
        r14 <= #1 (rFSM == 2'h1) ? rPCNXT : (!fR14) ? r14 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r14;
333
 
334
        r15 <= #1 (!fR15) ? r15 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r15;
335
        r16 <= #1 (!fR16) ? r16 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r16;
336
 
337
        r17 <= #1 (rFSM == 2'h2) ? rPCNXT : (!fR17) ? r17 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r17;
338
 
339
        r18 <= #1 (!fR18) ? r18 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r18;
340
        r19 <= #1 (!fR19) ? r19 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r19;
341
        r1A <= #1 (!fR1A) ? r1A : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1A;
342
        r1B <= #1 (!fR1B) ? r1B : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1B;
343
        r1C <= #1 (!fR1C) ? r1C : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1C;
344
        r1D <= #1 (!fR1D) ? r1D : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1D;
345
        r1E <= #1 (!fR1E) ? r1E : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1E;
346
        r1F <= #1 (!fR1F) ? r1F : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r1F;
347
 
348
        /*
349
        r01 <= #1 (!fR01) ? r01 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r01;
350
        r02 <= #1 (fR02 & fLD) ? wDWBDAT : (fR02 & fLNK) ? rPC_ : (fR02 & fWE) ? rRESULT : r02;
351
        r03 <= #1 (fR03 & fLD) ? wDWBDAT : (fR03 & fLNK) ? rPC_ : (fR03 & fWE) ? rRESULT : r03;
352
        r04 <= #1 (fR04 & fLD) ? wDWBDAT : (fR04 & fLNK) ? rPC_ : (fR04 & fWE) ? rRESULT : r04;
353
        r05 <= #1 (fR05 & fLD) ? wDWBDAT : (fR05 & fLNK) ? rPC_ : (fR05 & fWE) ? rRESULT : r05;
354
        r06 <= #1 (fR06 & fLD) ? wDWBDAT : (fR06 & fLNK) ? rPC_ : (fR06 & fWE) ? rRESULT : r06;
355
        r07 <= #1 (fR07 & fLD) ? wDWBDAT : (fR07 & fLNK) ? rPC_ : (fR07 & fWE) ? rRESULT : r07;
356
        r08 <= #1 (fR08 & fLD) ? wDWBDAT : (fR08 & fLNK) ? rPC_ : (fR08 & fWE) ? rRESULT : r08;
357
        r09 <= #1 (fR09 & fLD) ? wDWBDAT : (fR09 & fLNK) ? rPC_ : (fR09 & fWE) ? rRESULT : r09;
358
        r0A <= #1 (fR0A & fLD) ? wDWBDAT : (fR0A & fLNK) ? rPC_ : (fR0A & fWE) ? rRESULT : r0A;
359
        r0B <= #1 (fR0B & fLD) ? wDWBDAT : (fR0B & fLNK) ? rPC_ : (fR0B & fWE) ? rRESULT : r0B;
360
        r0C <= #1 (fR0C & fLD) ? wDWBDAT : (fR0C & fLNK) ? rPC_ : (fR0C & fWE) ? rRESULT : r0C;
361
        r0D <= #1 (fR0D & fLD) ? wDWBDAT : (fR0D & fLNK) ? rPC_ : (fR0D & fWE) ? rRESULT : r0D;
362
        //r0E <= #1 (fR0E & fLD) ? wDWBDAT : (fR0E & fLNK) ? rPC_ : (fR0E & fWE) ? rRESULT : r0E;
363
        r0F <= #1 (fR0F & fLD) ? wDWBDAT : (fR0F & fLNK) ? rPC_ : (fR0F & fWE) ? rRESULT : r0F;
364
        r10 <= #1 (fR10 & fLD) ? wDWBDAT : (fR10 & fLNK) ? rPC_ : (fR10 & fWE) ? rRESULT : r10;
365
        //r11 <= #1 (fR11 & fLD) ? wDWBDAT : (fR11 & fLNK) ? rPC_ : (fR11 & fWE) ? rRESULT : r11;
366
        r12 <= #1 (fR12 & fLD) ? wDWBDAT : (fR12 & fLNK) ? rPC_ : (fR12 & fWE) ? rRESULT : r12;
367
        r13 <= #1 (fR13 & fLD) ? wDWBDAT : (fR13 & fLNK) ? rPC_ : (fR13 & fWE) ? rRESULT : r13;
368
        r14 <= #1 (fR14 & fLD) ? wDWBDAT : (fR14 & fLNK) ? rPC_ : (fR14 & fWE) ? rRESULT : r14;
369
        r15 <= #1 (fR15 & fLD) ? wDWBDAT : (fR15 & fLNK) ? rPC_ : (fR15 & fWE) ? rRESULT : r15;
370
        r16 <= #1 (fR16 & fLD) ? wDWBDAT : (fR16 & fLNK) ? rPC_ : (fR16 & fWE) ? rRESULT : r16;
371
        r17 <= #1 (fR17 & fLD) ? wDWBDAT : (fR17 & fLNK) ? rPC_ : (fR17 & fWE) ? rRESULT : r17;
372
        r18 <= #1 (fR18 & fLD) ? wDWBDAT : (fR18 & fLNK) ? rPC_ : (fR18 & fWE) ? rRESULT : r18;
373
        r19 <= #1 (fR19 & fLD) ? wDWBDAT : (fR19 & fLNK) ? rPC_ : (fR19 & fWE) ? rRESULT : r19;
374
        r1A <= #1 (fR1A & fLD) ? wDWBDAT : (fR1A & fLNK) ? rPC_ : (fR1A & fWE) ? rRESULT : r1A;
375
        r1B <= #1 (fR1B & fLD) ? wDWBDAT : (fR1B & fLNK) ? rPC_ : (fR1B & fWE) ? rRESULT : r1B;
376
        r1C <= #1 (fR1C & fLD) ? wDWBDAT : (fR1C & fLNK) ? rPC_ : (fR1C & fWE) ? rRESULT : r1C;
377
        r1D <= #1 (fR1D & fLD) ? wDWBDAT : (fR1D & fLNK) ? rPC_ : (fR1D & fWE) ? rRESULT : r1D;
378
        r1E <= #1 (fR1E & fLD) ? wDWBDAT : (fR1E & fLNK) ? rPC_ : (fR1E & fWE) ? rRESULT : r1E;
379
        r1F <= #1 (fR1F & fLD) ? wDWBDAT : (fR1F & fLNK) ? rPC_ : (fR1F & fWE) ? rRESULT : r1F;
380
         */
381 4 sybreon
     end // else: !if(!nrst)
382 3 sybreon
 
383
   // Special Registers
384
   always @(negedge nclk or negedge nrst)
385
     if (!nrst) begin
386
        /*AUTORESET*/
387
        // Beginning of autoreset for uninitialized flops
388
        r00 <= 32'h0;
389
        r0E <= 32'h0;
390
        r11 <= 32'h0;
391
        // End of automatics
392
     end else begin
393
        // R00 - Zero
394
        r00 <= #1 r00;
395
        // R0E - Interrupt
396
        r0E <= #1 (rFSM == 2'b11) ? rPC : // Needs verification
397
               (!fR0E) ? r0E : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r0E;
398
        // R11 - Exception
399
        r11 <= #1 (rFSM == 2'b10) ? rPC : // Needs verification
400
               (!fR11) ? r11 : (fLD) ? wDWBDAT : (fLNK) ? rPC_ : (fWE) ? rRESULT : r11;
401 4 sybreon
     end // else: !if(!nrst)
402 5 sybreon
 
403
 
404
   // Simulation ONLY
405
   always @(negedge nclk) begin
406
      if ((fWE & (rRD_== 5'd0)) || (fLNK & (rRD_== 5'd0)) || (fLD & (rRD_== 5'd0))) $displayh("!!! Warning: Write to R0.");
407
   end
408 3 sybreon
 
409 5 sybreon
 
410 3 sybreon
endmodule // aeMB_regfile
411
 
412
// Local Variables:
413
// verilog-library-directories:(".")
414
// verilog-library-files:("")
415
// End:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.