OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [lib/] [fasm/] [fasm_spsram_rbw.v] - Blame information for rev 195

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 195 sybreon
/* $Id: fasm_spsram.v,v 1.1 2008/06/05 20:51:56 sybreon Exp $
2
**
3
** FASM MEMORY LIBRARY
4
** Copyright (C) 2004-2009 Shawn Tan <shawn.tan@aeste.net>
5
** All rights reserved.
6
**
7
** FASM is free software: you can redistribute it and/or modify it
8
** under the terms of the GNU Lesser General Public License as
9
** published by the Free Software Foundation, either version 3 of the
10
** License, or (at your option) any later version.
11
**
12
** FASM is distributed in the hope that it will be useful, but WITHOUT
13
** ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
14
** or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
15
** Public License for more details.
16
**
17
** You should have received a copy of the GNU Lesser General Public
18
** License along with FASM. If not, see <http:**www.gnu.org/licenses/>.
19
*/
20
/*
21
 * SINGLE PORT SYNCHRONOUS RAM - READ-BEFORE-WRITE
22
 * Synthesis proven on:
23
 * - Xilinx ISE
24
 * - Altera Quartus (>=8.0)
25
 */
26
 
27
module fasm_spsram_rbw (/*AUTOARG*/
28
   // Outputs
29
   dat_o,
30
   // Inputs
31
   dat_i, adr_i, wre_i, stb_i, rst_i, clk_i
32
   );
33
 
34
   parameter AW = 8;  ///< address space (2^AW) words
35
   parameter DW = 32; ///< data word width bits
36
 
37
   // wishbone port a
38
   output [DW-1:0] dat_o; // DO
39
   input [DW-1:0]  dat_i; // DI
40
   input [AW-1:0]  adr_i; // A
41
   input           wre_i; // WE
42
   input           stb_i; // CS
43
 
44
   input           rst_i,
45
                   clk_i;
46
 
47
   // output latch
48
   reg [DW-1:0]    rA;
49
 
50
   // memory block
51
   reg [DW-1:0]    bram [(1<<AW)-1:0];
52
 
53
   always @(posedge clk_i)
54
     if (stb_i)
55
       begin
56
          rA <= #1 bram[adr_i];
57
          if (wre_i) // strobe and write-enable
58
            bram[adr_i] <= #1 dat_i;
59
       end
60
 
61
   assign          dat_o = rA; // write-thru
62
 
63
   // ### SIMULATION ONLY ###
64
   // synopsys translate_off
65
   integer i;
66
   initial begin
67
      for (i=0; i<(1<<AW); i=i+1) begin
68
         bram[i] <= $random;
69
      end
70
   end
71
   // synopsys translate_on
72
 
73
endmodule // fasm_spsram

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.