OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [rtl/] [verilog/] [aeMB_ibuf.v] - Blame information for rev 41

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 41 sybreon
// $Id: aeMB_ibuf.v,v 1.1 2007-11-02 03:25:40 sybreon Exp $
2
//
3
// AEMB INSTRUCTION BUFFER
4
// 
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7
// This library is free software; you can redistribute it and/or
8
// modify it under the terms of the GNU Lesser General Public License
9
// as published by the Free Software Foundation; either version 2.1 of
10
// the License, or (at your option) any later version.
11
//
12
// This library is distributed in the hope that it will be useful, but
13
// WITHOUT ANY WARRANTY; without even the implied warranty of
14
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
15
// Lesser General Public License for more details.
16
//  
17
// You should have received a copy of the GNU Lesser General Public
18
// License along with this library; if not, write to the Free Software
19
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
20
// USA
21
//
22
// $Log: not supported by cvs2svn $
23
 
24
module aeMB_ibuf (/*AUTOARG*/
25
   // Outputs
26
   rIMM, rRA, rRD, rRB, rALT, rOPC, rSIMM, iwb_stb_o,
27
   // Inputs
28
   rBRA, rXCE, iwb_dat_i, iwb_ack_i, gclk, grst, gena
29
   );
30
   // INTERNAL
31
   output [15:0] rIMM;
32
   output [4:0]  rRA, rRD, rRB;
33
   output [10:0] rALT;
34
   output [5:0]  rOPC;
35
   output [31:0] rSIMM;
36
   input         rBRA;
37
   input [1:0]    rXCE;
38
 
39
   // INST WISHBONE
40
   output        iwb_stb_o;
41
   input [31:0]  iwb_dat_i;
42
   input         iwb_ack_i;
43
 
44
   // SYSTEM
45
   input         gclk, grst, gena;
46
 
47
   reg [15:0]     rIMM;
48
   reg [4:0]      rRA, rRD;
49
   reg [5:0]      rOPC;
50
 
51
   // FIXME: Endian
52
   wire [31:0]    wIDAT = iwb_dat_i;
53
   assign        {rRB, rALT} = rIMM;
54
 
55
   // TODO: Assign to FIFO not full.
56
   assign       iwb_stb_o = 1'b1;
57
 
58
   reg [31:0]    rSIMM, xSIMM;
59
   wire [31:0]   wSIMM = (fIMM) ? {rIMM, wIDAT[15:0]} : { {(16){wIDAT[15]}}, wIDAT[15:0]}; // TODO: Factor
60
   wire         fIMM = (rOPC == 6'o54);
61
 
62
   reg [31:0]    xIREG;
63
 
64
   // DELAY SLOT
65
   always @(/*AUTOSENSE*/rBRA or wIDAT)
66
     if (rBRA) begin
67
        xIREG <= 32'h88000000;
68
        /*AUTORESET*/
69
     end else begin
70
        xIREG <= wIDAT; // FIXME: Simplify
71
        //xSIMM <= wSIMM;
72
     end
73
 
74
   always @(/*AUTOSENSE*/fIMM or rBRA or rIMM or xIREG)
75
     if (rBRA) begin
76
        /*AUTORESET*/
77
        // Beginning of autoreset for uninitialized flops
78
        xSIMM <= 32'h0;
79
        // End of automatics
80
     end else begin
81
        //xIREG <= wIDAT; // FIXME: Simplify
82
        xSIMM <= (fIMM) ? {rIMM, xIREG[15:0]} : { {(16){xIREG[15]}}, xIREG[15:0]}; // TODO: Factor
83
     end
84
 
85
   // Synchronous
86
   always @(posedge gclk)
87
     if (grst) begin
88
        /*AUTORESET*/
89
        // Beginning of autoreset for uninitialized flops
90
        rIMM <= 16'h0;
91
        rOPC <= 6'h0;
92
        rRA <= 5'h0;
93
        rRD <= 5'h0;
94
        rSIMM <= 32'h0;
95
        // End of automatics
96
     end else if (gena) begin
97
        {rOPC, rRD, rRA, rIMM} <= #1 xIREG;
98
        rSIMM <= #1 xSIMM;
99
     end
100
 
101
 
102
endmodule // aeMB_ibuf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.