OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [rtl/] [verilog/] [aeMB_xecu.v] - Blame information for rev 55

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 55 sybreon
// $Id: aeMB_xecu.v,v 1.6 2007-11-10 16:39:38 sybreon Exp $
2 41 sybreon
//
3
// AEMB MAIN EXECUTION ALU
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7 55 sybreon
// This file is part of AEMB.
8 41 sybreon
//
9 55 sybreon
// AEMB is free software: you can redistribute it and/or modify it
10
// under the terms of the GNU Lesser General Public License as
11
// published by the Free Software Foundation, either version 3 of the
12
// License, or (at your option) any later version.
13
//
14
// AEMB is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
16
// or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
17
// Public License for more details.
18
//
19 41 sybreon
// You should have received a copy of the GNU Lesser General Public
20 55 sybreon
// License along with AEMB. If not, see <http://www.gnu.org/licenses/>.
21 41 sybreon
//
22
// $Log: not supported by cvs2svn $
23 55 sybreon
// Revision 1.5  2007/11/09 20:51:52  sybreon
24
// Added GET/PUT support through a FSL bus.
25
//
26 53 sybreon
// Revision 1.4  2007/11/08 14:17:47  sybreon
27
// Parameterised optional components.
28
//
29 50 sybreon
// Revision 1.3  2007/11/03 08:34:55  sybreon
30
// Minor code cleanup.
31
//
32 45 sybreon
// Revision 1.2  2007/11/02 19:20:58  sybreon
33
// Added better (beta) interrupt support.
34
// Changed MSR_IE to disabled at reset as per MB docs.
35
//
36 44 sybreon
// Revision 1.1  2007/11/02 03:25:41  sybreon
37
// New EDK 3.2 compatible design with optional barrel-shifter and multiplier.
38
// Fixed various minor data hazard bugs.
39
// Code compatible with -O0/1/2/3/s generated code.
40
//
41 41 sybreon
 
42
module aeMB_xecu (/*AUTOARG*/
43
   // Outputs
44 53 sybreon
   dwb_adr_o, dwb_sel_o, fsl_adr_o, rRESULT, rDWBSEL, rMSR_IE,
45
   rMSR_BIP,
46 41 sybreon
   // Inputs
47 53 sybreon
   rXCE, rREGA, rREGB, rMXSRC, rMXTGT, rRA, rRB, rMXALU, rBRA, rDLY,
48
   rALT, rSIMM, rIMM, rOPC, rRD, rDWBDI, rPC, gclk, grst, gena
49 41 sybreon
   );
50
   parameter DW=32;
51 50 sybreon
 
52
   parameter MUL=0;
53
   parameter BSF=0;
54 41 sybreon
 
55
   // DATA WISHBONE
56
   output [DW-1:2] dwb_adr_o;
57
   output [3:0]    dwb_sel_o;
58 53 sybreon
 
59
   // FSL WISHBONE
60
   output [14:2]   fsl_adr_o;
61 41 sybreon
 
62
   // INTERNAL
63
   output [31:0]   rRESULT;
64
   output [3:0]    rDWBSEL;
65 44 sybreon
   output          rMSR_IE;
66
   output          rMSR_BIP;
67
   input [1:0]      rXCE;
68 41 sybreon
   input [31:0]    rREGA, rREGB;
69
   input [1:0]      rMXSRC, rMXTGT;
70 53 sybreon
   input [4:0]      rRA, rRB;
71 41 sybreon
   input [2:0]      rMXALU;
72
   input           rBRA, rDLY;
73 50 sybreon
   input [10:0]    rALT;
74 45 sybreon
 
75 41 sybreon
   input [31:0]    rSIMM;
76
   input [15:0]    rIMM;
77
   input [5:0]      rOPC;
78
   input [4:0]      rRD;
79
   input [31:0]    rDWBDI;
80
   input [31:2]    rPC;
81 50 sybreon
   //input [31:0]    rRES_MUL; // External Multiplier
82
   //input [31:0]    rRES_BSF; // External Barrel Shifter
83 41 sybreon
 
84
   // SYSTEM
85
   input           gclk, grst, gena;
86
 
87
   reg             rMSR_C, xMSR_C;
88
   reg             rMSR_IE, xMSR_IE;
89 44 sybreon
   reg             rMSR_BE, xMSR_BE;
90
   reg             rMSR_BIP, xMSR_BIP;
91 41 sybreon
 
92 44 sybreon
   wire            fSKIP = rBRA & !rDLY;
93
 
94 41 sybreon
   // --- OPERAND SELECT
95
 
96
   reg [31:0]       rOPA, rOPB;
97
   always @(/*AUTOSENSE*/rDWBDI or rMXSRC or rPC or rREGA or rRESULT)
98
     case (rMXSRC)
99
       2'o0: rOPA <= rREGA;
100
       2'o1: rOPA <= rRESULT;
101
       2'o2: rOPA <= rDWBDI;
102
       2'o3: rOPA <= {rPC, 2'o0};
103
     endcase // case (rMXSRC)
104
 
105
   always @(/*AUTOSENSE*/rDWBDI or rMXTGT or rREGB or rRESULT or rSIMM)
106
     case (rMXTGT)
107
       2'o0: rOPB <= rREGB;
108
       2'o1: rOPB <= rRESULT;
109
       2'o2: rOPB <= rDWBDI;
110
       2'o3: rOPB <= rSIMM;
111
     endcase // case (rMXTGT)
112
 
113 44 sybreon
   // --- ADD/SUB SELECTOR ----
114 50 sybreon
   // FIXME: Redesign
115 44 sybreon
   // TODO: Refactor
116
   // TODO: Verify signed compare
117
 
118
   wire             wADDC, wSUBC, wRES_AC, wCMPC, wOPC;
119
   wire [31:0]       wADD, wSUB, wRES_A, wCMP, wOPX;
120
 
121
   wire             wCMPU = (rOPA > rOPB);
122
   wire             wCMPF = (rIMM[1]) ? wCMPU :
123
                            ((wCMPU & ~(rOPB[31] ^ rOPA[31])) | (rOPB[31] & ~rOPA[31]));
124
 
125
   assign           {wCMPC,wCMP} = {wSUBC,wCMPF,wSUB[30:0]};
126
   assign           wOPX = (rOPC[0] & !rOPC[5]) ? ~rOPA : rOPA ;
127
   assign           wOPC = ((rMSR_C & rOPC[1]) | (rOPC[0] & !rOPC[1])) & (!rOPC[5] & ~&rOPC[5:4]);
128
 
129
   assign           {wSUBC,wSUB} = {wADDC,wADD};
130
   assign           {wADDC,wADD} = (rOPB + wOPX) + wOPC;
131
 
132
   reg              rRES_ADDC;
133
   reg [31:0]        rRES_ADD;
134
   always @(rIMM or rOPC or wADD or wADDC or wCMP
135
            or wCMPC or wSUB or wSUBC)
136
     case ({rOPC[3],rOPC[0],rIMM[0]})
137
       4'h2, 4'h6, 4'h7: {rRES_ADDC,rRES_ADD} <= #1 {~wSUBC,wSUB}; // SUB
138
       4'h3: {rRES_ADDC,rRES_ADD} <= #1 {~wCMPC,wCMP}; // CMP
139
       default: {rRES_ADDC,rRES_ADD} <= #1 {wADDC,wADD};
140
     endcase // case ({rOPC[3],rOPC[0],rIMM[0]})
141
 
142 50 sybreon
   // --- LOGIC SELECTOR --------------------------------------
143 41 sybreon
 
144
   reg [31:0]        rRES_LOG;
145
   always @(/*AUTOSENSE*/rOPA or rOPB or rOPC)
146
     case (rOPC[1:0])
147
       2'o0: rRES_LOG <= #1 rOPA | rOPB;
148
       2'o1: rRES_LOG <= #1 rOPA & rOPB;
149
       2'o2: rRES_LOG <= #1 rOPA ^ rOPB;
150
       2'o3: rRES_LOG <= #1 rOPA & ~rOPB;
151
     endcase // case (rOPC[1:0])
152
 
153 50 sybreon
   // --- SHIFTER SELECTOR ------------------------------------
154 41 sybreon
 
155
   reg [31:0]        rRES_SFT;
156
   reg              rRES_SFTC;
157
 
158
   always @(/*AUTOSENSE*/rIMM or rMSR_C or rOPA)
159
     case (rIMM[6:5])
160
       2'o0: {rRES_SFT, rRES_SFTC} <= #1 {rOPA[31],rOPA[31:0]};
161
       2'o1: {rRES_SFT, rRES_SFTC} <= #1 {rMSR_C,rOPA[31:0]};
162
       2'o2: {rRES_SFT, rRES_SFTC} <= #1 {1'b0,rOPA[31:0]};
163
       2'o3: {rRES_SFT, rRES_SFTC} <= #1 (rIMM[0]) ? { {(16){rOPA[15]}}, rOPA[15:0], rMSR_C} :
164
                                      { {(24){rOPA[7]}}, rOPA[7:0], rMSR_C};
165
     endcase // case (rIMM[6:5])
166
 
167 50 sybreon
   // --- MOVE SELECTOR ---------------------------------------
168 41 sybreon
 
169 44 sybreon
   wire [31:0]       wMSR = {rMSR_C, 3'o0,
170
                            20'h0ED32,
171
                            4'h0, rMSR_BIP, rMSR_C, rMSR_IE, rMSR_BE};
172 41 sybreon
   wire             fMFSR = (rOPC == 6'o45) & !rIMM[14] & rIMM[0];
173
   wire             fMFPC = (rOPC == 6'o45) & !rIMM[14] & !rIMM[0];
174
   reg [31:0]        rRES_MOV;
175
   always @(/*AUTOSENSE*/fMFPC or fMFSR or rOPA or rOPB or rPC or rRA
176
            or wMSR)
177
     rRES_MOV <= (fMFSR) ? wMSR :
178
                 (fMFPC) ? rPC :
179
                 (rRA[3]) ? rOPB :
180
                 rOPA;
181
 
182 50 sybreon
   // --- MULTIPLIER ------------------------------------------
183
 
184
   reg [31:0]        rRES_MUL;
185
   always @(/*AUTOSENSE*/rOPA or rOPB) begin
186
      rRES_MUL <= (rOPA * rOPB);
187
   end
188
 
189
   // --- BARREL SHIFTER --------------------------------------
190
 
191
   reg [31:0]     rRES_BSF;
192
   reg [31:0]     xBSRL, xBSRA, xBSLL;
193 41 sybreon
 
194 50 sybreon
   // Infer a logical left barrel shifter.   
195
   always @(/*AUTOSENSE*/rOPA or rOPB)
196
     xBSLL <= rOPA << rOPB[4:0];
197
 
198
   // Infer a logical right barrel shifter.
199
   always @(/*AUTOSENSE*/rOPA or rOPB)
200
     xBSRL <= rOPA >> rOPB[4:0];
201
 
202
   // Infer a arithmetic right barrel shifter.
203
   always @(/*AUTOSENSE*/rOPA or rOPB)
204
     case (rOPB[4:0])
205
       5'd00: xBSRA <= rOPA;
206
       5'd01: xBSRA <= {{(1){rOPA[31]}}, rOPA[31:1]};
207
       5'd02: xBSRA <= {{(2){rOPA[31]}}, rOPA[31:2]};
208
       5'd03: xBSRA <= {{(3){rOPA[31]}}, rOPA[31:3]};
209
       5'd04: xBSRA <= {{(4){rOPA[31]}}, rOPA[31:4]};
210
       5'd05: xBSRA <= {{(5){rOPA[31]}}, rOPA[31:5]};
211
       5'd06: xBSRA <= {{(6){rOPA[31]}}, rOPA[31:6]};
212
       5'd07: xBSRA <= {{(7){rOPA[31]}}, rOPA[31:7]};
213
       5'd08: xBSRA <= {{(8){rOPA[31]}}, rOPA[31:8]};
214
       5'd09: xBSRA <= {{(9){rOPA[31]}}, rOPA[31:9]};
215
       5'd10: xBSRA <= {{(10){rOPA[31]}}, rOPA[31:10]};
216
       5'd11: xBSRA <= {{(11){rOPA[31]}}, rOPA[31:11]};
217
       5'd12: xBSRA <= {{(12){rOPA[31]}}, rOPA[31:12]};
218
       5'd13: xBSRA <= {{(13){rOPA[31]}}, rOPA[31:13]};
219
       5'd14: xBSRA <= {{(14){rOPA[31]}}, rOPA[31:14]};
220
       5'd15: xBSRA <= {{(15){rOPA[31]}}, rOPA[31:15]};
221
       5'd16: xBSRA <= {{(16){rOPA[31]}}, rOPA[31:16]};
222
       5'd17: xBSRA <= {{(17){rOPA[31]}}, rOPA[31:17]};
223
       5'd18: xBSRA <= {{(18){rOPA[31]}}, rOPA[31:18]};
224
       5'd19: xBSRA <= {{(19){rOPA[31]}}, rOPA[31:19]};
225
       5'd20: xBSRA <= {{(20){rOPA[31]}}, rOPA[31:20]};
226
       5'd21: xBSRA <= {{(21){rOPA[31]}}, rOPA[31:21]};
227
       5'd22: xBSRA <= {{(22){rOPA[31]}}, rOPA[31:22]};
228
       5'd23: xBSRA <= {{(23){rOPA[31]}}, rOPA[31:23]};
229
       5'd24: xBSRA <= {{(24){rOPA[31]}}, rOPA[31:24]};
230
       5'd25: xBSRA <= {{(25){rOPA[31]}}, rOPA[31:25]};
231
       5'd26: xBSRA <= {{(26){rOPA[31]}}, rOPA[31:26]};
232
       5'd27: xBSRA <= {{(27){rOPA[31]}}, rOPA[31:27]};
233
       5'd28: xBSRA <= {{(28){rOPA[31]}}, rOPA[31:28]};
234
       5'd29: xBSRA <= {{(29){rOPA[31]}}, rOPA[31:29]};
235
       5'd30: xBSRA <= {{(30){rOPA[31]}}, rOPA[31:30]};
236
       5'd31: xBSRA <= {{(31){rOPA[31]}}, rOPA[31]};
237
     endcase // case (rOPB[4:0])
238
 
239
   always @(/*AUTOSENSE*/rALT or xBSLL or xBSRA or xBSRL)
240
     case (rALT[10:9])
241
       2'd0: rRES_BSF <= xBSRL;
242
       2'd1: rRES_BSF <= xBSRA;
243
       2'd2: rRES_BSF <= xBSLL;
244
       default: rRES_BSF <= 32'hX;
245
     endcase // case (rALT[10:9])
246
 
247
 
248 44 sybreon
   // --- MSR REGISTER -----------------
249 41 sybreon
 
250 44 sybreon
   // C
251
   wire            fMTS = (rOPC == 6'o45) & rIMM[14];
252
   wire            fADDC = ({rOPC[5:4], rOPC[2]} == 3'o0);
253 41 sybreon
 
254 44 sybreon
   always @(/*AUTOSENSE*/fADDC or fMTS or fSKIP or rMSR_C or rMXALU
255
            or rOPA or rRES_ADDC or rRES_SFTC or rXCE)
256
     if (fSKIP | |rXCE) begin
257
        xMSR_C <= rMSR_C;
258
     end else
259
       case (rMXALU)
260
         3'o0: xMSR_C <= (fADDC) ? rRES_ADDC : rMSR_C;
261
         3'o1: xMSR_C <= rMSR_C; // LOGIC       
262
         3'o2: xMSR_C <= rRES_SFTC; // SHIFT
263
         3'o3: xMSR_C <= (fMTS) ? rOPA[2] : rMSR_C;
264
         3'o4: xMSR_C <= rMSR_C;
265
         3'o5: xMSR_C <= rMSR_C;
266
         default: xMSR_C <= 1'hX;
267
       endcase
268
 
269
   // IE/BIP/BE
270
   wire             fRTID = (rOPC == 6'o55) & rRD[0];
271
   wire             fRTBD = (rOPC == 6'o55) & rRD[1];
272
   wire             fBRK = ((rOPC == 6'o56) | (rOPC == 6'o66)) & (rRA[4:2] == 3'o3);
273 41 sybreon
 
274 44 sybreon
   always @(/*AUTOSENSE*/fMTS or fRTID or rMSR_IE or rOPA or rXCE)
275
     xMSR_IE <= (rXCE == 2'o2) ? 1'b0 :
276
                (fRTID) ? 1'b1 :
277
                (fMTS) ? rOPA[1] :
278
                rMSR_IE;
279 41 sybreon
 
280 44 sybreon
   always @(/*AUTOSENSE*/fBRK or fMTS or fRTBD or rMSR_BIP or rOPA)
281
     xMSR_BIP <= (fBRK) ? 1'b1 :
282
                 (fRTBD) ? 1'b0 :
283
                 (fMTS) ? rOPA[3] :
284
                 rMSR_BIP;
285
 
286
   always @(/*AUTOSENSE*/fMTS or rMSR_BE or rOPA)
287
     xMSR_BE <= (fMTS) ? rOPA[0] : rMSR_BE;
288
 
289 50 sybreon
   // --- RESULT SELECTOR -------------------------------------------
290
   // Selects results from functional units. 
291 41 sybreon
   reg [31:0]       rRESULT, xRESULT;
292
 
293
   // RESULT
294
   always @(/*AUTOSENSE*/fSKIP or rMXALU or rRES_ADD or rRES_BSF
295
            or rRES_LOG or rRES_MOV or rRES_MUL or rRES_SFT)
296
     if (fSKIP)
297
       /*AUTORESET*/
298
       // Beginning of autoreset for uninitialized flops
299
       xRESULT <= 32'h0;
300
       // End of automatics
301
     else
302
       case (rMXALU)
303
         3'o0: xRESULT <= rRES_ADD;
304
         3'o1: xRESULT <= rRES_LOG;
305
         3'o2: xRESULT <= rRES_SFT;
306
         3'o3: xRESULT <= rRES_MOV;
307 50 sybreon
         3'o4: xRESULT <= (MUL) ? rRES_MUL : 32'hX;
308
         3'o5: xRESULT <= (BSF) ? rRES_BSF : 32'hX;
309 41 sybreon
         default: xRESULT <= 32'hX;
310
       endcase // case (rMXALU)
311
 
312
   // --- DATA WISHBONE -----
313
 
314
   reg [3:0]         rDWBSEL, xDWBSEL;
315
   assign           dwb_adr_o = rRESULT[DW-1:2];
316
   assign           dwb_sel_o = rDWBSEL;
317
 
318
   always @(/*AUTOSENSE*/rOPC or wADD)
319
     case (rOPC[1:0])
320 53 sybreon
       2'o0: case (wADD[1:0]) // 8'bit
321 41 sybreon
               2'o0: xDWBSEL <= 4'h8;
322
               2'o1: xDWBSEL <= 4'h4;
323
               2'o2: xDWBSEL <= 4'h2;
324
               2'o3: xDWBSEL <= 4'h1;
325
             endcase // case (wADD[1:0])
326 53 sybreon
       2'o1: xDWBSEL <= (wADD[1]) ? 4'h3 : 4'hC; // 16'bit
327
       2'o2: xDWBSEL <= 4'hF; // 32'bit
328
       2'o3: xDWBSEL <= 4'h0; // FSL
329 41 sybreon
     endcase // case (rOPC[1:0])
330 53 sybreon
 
331
   // --- FSL WISHBONE --------------------
332
 
333
   reg [14:2]       rFSLADR, xFSLADR;
334 41 sybreon
 
335 53 sybreon
   assign           fsl_adr_o = rFSLADR[14:2];
336
 
337
   always @(/*AUTOSENSE*/rALT or rRB) begin
338
      xFSLADR <= {rALT, rRB[3:2]};
339
   end
340
 
341 41 sybreon
   // --- SYNC ---
342
 
343
   always @(posedge gclk)
344
     if (grst) begin
345
        /*AUTORESET*/
346
        // Beginning of autoreset for uninitialized flops
347
        rDWBSEL <= 4'h0;
348 53 sybreon
        rFSLADR <= 13'h0;
349 44 sybreon
        rMSR_BE <= 1'h0;
350
        rMSR_BIP <= 1'h0;
351 41 sybreon
        rMSR_C <= 1'h0;
352 44 sybreon
        rMSR_IE <= 1'h0;
353 41 sybreon
        rRESULT <= 32'h0;
354
        // End of automatics
355
     end else if (gena) begin
356
        rRESULT <= #1 xRESULT;
357
        rDWBSEL <= #1 xDWBSEL;
358
        rMSR_C <= #1 xMSR_C;
359
        rMSR_IE <= #1 xMSR_IE;
360 44 sybreon
        rMSR_BE <= #1 xMSR_BE;
361 53 sybreon
        rMSR_BIP <= #1 xMSR_BIP;
362
        rFSLADR <= #1 xFSLADR;
363 41 sybreon
     end
364
 
365
endmodule // aeMB_xecu

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.