OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [sim/] [verilog/] [edk32.v] - Blame information for rev 41

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 41 sybreon
// $Id: edk32.v,v 1.1 2007-11-02 03:25:45 sybreon Exp $
2
//
3
// AEMB EDK 3.2 Compatible Core TEST
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7
// This library is free software; you can redistribute it and/or
8
// modify it under the terms of the GNU Lesser General Public License
9
// as published by the Free Software Foundation; either version 2.1 of
10
// the License, or (at your option) any later version.
11
//
12
// This library is distributed in the hope that it will be useful, but
13
// WITHOUT ANY WARRANTY; without even the implied warranty of
14
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
15
// Lesser General Public License for more details.
16
//  
17
// You should have received a copy of the GNU Lesser General Public
18
// License along with this library; if not, write to the Free Software
19
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
20
// USA
21
//
22
// $Log: not supported by cvs2svn $
23
 
24
module edk32 ();
25
 
26
 
27
   // INITIAL SETUP //////////////////////////////////////////////////////
28
 
29
   reg       sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i;
30
   reg       svc;
31
   integer   inttime;
32
 
33
   always #5 sys_clk_i = ~sys_clk_i;
34
 
35
   initial begin
36
      //$dumpfile("dump.vcd");
37
      //$dumpvars(1,dut);
38
   end
39
 
40
   initial begin
41
      inttime = ($random % 143 * 7) + 3210;
42
      svc = 0;
43
      sys_clk_i = 1;
44
      sys_rst_i = 1;
45
      sys_int_i = 0;
46
      sys_exc_i = 0;
47
      #30 sys_rst_i = 0;
48
   end
49
 
50
   initial fork
51
      //inttime $display("FSADFASDFSDAF");      
52
      #1000 sys_int_i = 1;
53
      //#1100 sys_int_i = 0;
54
      //#100000 $displayh("\nTest Completed."); 
55
      //#4000 $finish;
56
   join
57
 
58
 
59
   // FAKE MEMORY ////////////////////////////////////////////////////////
60
 
61
   wire [15:2] iwb_adr_o;
62
   wire        iwb_stb_o;
63
   wire        dwb_stb_o;
64
   reg [31:0]  rom [0:65535];
65
   wire [31:0] iwb_dat_i;
66
   reg         iwb_ack_i, dwb_ack_i;
67
 
68
   reg [31:0]  ram[0:65535];
69
   wire [31:0] dwb_dat_i;
70
   reg [31:0]  dwblat;
71
   wire        dwb_we_o;
72
   reg [15:2]  dadr,iadr;
73
   wire [3:0]  dwb_sel_o;
74
   wire [31:0] dwb_dat_o;
75
   wire [15:2] dwb_adr_o;
76
   wire [31:0] dwb_dat_t;
77
 
78
   assign      {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]} = ram[dadr];
79
   assign      {iwb_dat_i[7:0],iwb_dat_i[15:8],iwb_dat_i[23:16],iwb_dat_i[31:24]} = ram[iadr];
80
   assign      {dwb_dat_t} = ram[dwb_adr_o];
81
 
82
   always @(negedge sys_clk_i) begin
83
      iwb_ack_i <= #1 iwb_stb_o;
84
      dwb_ack_i <= #1 dwb_stb_o & $random;
85
      iadr <= #1 iwb_adr_o;
86
      dadr <= dwb_adr_o;
87
 
88
      if (dwb_we_o & dwb_stb_o) begin
89
         case (dwb_sel_o)
90
           4'h1: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_t[23:0]};
91
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:24],dwb_dat_o[15:8],dwb_dat_t[15:0]};
92
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_t[7:0]};
93
           4'h8: ram[dwb_adr_o] <= {dwb_dat_t[31:8],dwb_dat_o[31:24]};
94
           4'h3: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_t[15:0]};
95
           4'hC: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_o[31:24]};
96
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_o[23:16],dwb_dat_o[31:24]};
97
         endcase // case (dwb_sel_o)     
98
      end
99
   end
100
 
101
   integer i;
102
   initial begin
103
      for (i=0;i<65535;i=i+1) begin
104
         ram[i] <= $random;
105
      end
106
      #1 $readmemh("aeMB.rom",ram);
107
   end
108
 
109
   // DISPLAY OUTPUTS ///////////////////////////////////////////////////
110
 
111
   //assign dut.rRESULT = dut.rSIMM;   
112
 
113
   always @(posedge sys_clk_i) begin
114
 
115
      // Pass/Fail Monitors
116
      if (dwb_we_o & (dwb_dat_o == "FAIL")) begin
117
         $display("\n\tFAIL");
118
         $finish;
119
      end
120
      if (iwb_dat_i == 32'hb8000000) begin
121
         $display("\n\t*** PASSED ALL TESTS ***");
122
         $finish;
123
      end
124
   end // always @ (posedge sys_clk_i)
125
 
126
 
127
   always @(posedge sys_clk_i) if (dut.gena) begin
128
      $write ("\n", ($stime/10));
129
      $writeh ("\tPC=", {iwb_adr_o,2'd0}, "[", iwb_dat_i, "]");
130
 
131
      // DECODE
132
      $writeh ("\t");
133
 
134
      case ({dut.rBRA, dut.rDLY})
135
        2'b00: $write(" ");
136
        2'b01: $write(".");
137
        2'b10: $write("-");
138
        2'b11: $write("+");
139
      endcase // case ({dut.rBRA, dut.rDLY})
140
 
141
      case (dut.rOPC)
142
        6'o00: if (dut.rRD == 0) $write("   "); else $write("ADD");
143
        6'o01: $write("RSUB");
144
        6'o02: $write("ADDC");
145
        6'o03: $write("RSUBC");
146
        6'o04: $write("ADDK");
147
        6'o05: case (dut.rIMM[1:0])
148
                 2'o0: $write("RSUBK");
149
                 2'o1: $write("CMP");
150
                 2'o3: $write("CMPU");
151
                 default: $write("XXX");
152
               endcase
153
        6'o06: $write("ADDKC");
154
        6'o07: $write("RSUBKC");
155
 
156
        6'o10: $write("ADDI");
157
        6'o11: $write("RSUBI");
158
        6'o12: $write("ADDIC");
159
        6'o13: $write("RSUBIC");
160
        6'o14: $write("ADDIK");
161
        6'o15: $write("RSUBIK");
162
        6'o16: $write("ADDIKC");
163
        6'o17: $write("RSUBIKC");
164
 
165
        6'o20: $write("MUL");
166
        6'o21: case (dut.rALT[10:9])
167
                 2'o0: $write("BSRL");
168
                 2'o1: $write("BSRA");
169
                 2'o2: $write("BSLL");
170
                 default: $write("XXX");
171
               endcase
172
        6'o22: $write("IDIV");
173
 
174
        6'o30: $write("MULI");
175
        6'o31: case (dut.rALT[10:9])
176
                 2'o0: $write("BSRLI");
177
                 2'o1: $write("BSRAI");
178
                 2'o2: $write("BSLLI");
179
                 default: $write("XXX");
180
               endcase
181
        6'o33: $write("GETPUT");
182
 
183
        6'o40: $write("OR");
184
        6'o41: $write("AND");
185
        6'o42: if (dut.rRD == 0) $write("   "); else $write("XOR");
186
        6'o43: $write("ANDN");
187
        6'o44: $write("SRX");
188
        6'o45: $write("MOV");
189
        6'o46: $write("BRX");
190
        6'o47: case (dut.rRD[2:0])
191
                 3'o0: $write("BEQ");
192
                 3'o1: $write("BNE");
193
                 3'o2: $write("BLT");
194
                 3'o3: $write("BLE");
195
                 3'o4: $write("BGT");
196
                 3'o5: $write("BGE");
197
                 default: $write("XXX");
198
               endcase // case (dut.rRD[2:0])
199
 
200
        6'o50: $write("ORI");
201
        6'o51: $write("ANDI");
202
        6'o52: $write("XORI");
203
        6'o53: $write("ANDNI");
204
        6'o54: $write("IMMI");
205
        6'o55: $write("RTXI");
206
        6'o56: $write("BRXI");
207
        6'o57: case (dut.rRD[2:0])
208
                 3'o0: $write("BEQI");
209
                 3'o1: $write("BNEI");
210
                 3'o2: $write("BLTI");
211
                 3'o3: $write("BLEI");
212
                 3'o4: $write("BGTI");
213
                 3'o5: $write("BGEI");
214
                 default: $write("XXX");
215
               endcase // case (dut.rRD[2:0])
216
 
217
        6'o60: $write("LBU");
218
        6'o61: $write("LHU");
219
        6'o62: $write("LW");
220
        6'o64: $write("SB");
221
        6'o65: $write("SH");
222
        6'o66: $write("SW");
223
 
224
        6'o70: $write("LBUI");
225
        6'o71: $write("LHUI");
226
        6'o72: $write("LWI");
227
        6'o74: $write("SBI");
228
        6'o75: $write("SHI");
229
        6'o76: $write("SWI");
230
 
231
        default: $write("XXX");
232
      endcase // case (rOPC)
233
 
234
      case (dut.rOPC[3])
235
        1'b1: $writeh("\tr",dut.rRD,", r",dut.rRA,", h",dut.rIMM);
236
        1'b0: $writeh("\tr",dut.rRD,", r",dut.rRA,", r",dut.rRB,"  ");
237
      endcase // case (rOPC[3])
238
 
239
 
240
      // ALU
241
      $write("\t");
242
      $writeh(" I=",dut.rSIMM);
243
      $writeh(" A=",dut.rOPA);
244
      $writeh(" B=",dut.rOPB);
245
 
246
      case (dut.rMXALU)
247
        3'o0: $write(" ADD");
248
        3'o1: $write(" LOG");
249
        3'o2: $write(" SFT");
250
        3'o3: $write(" MOV");
251
        3'o4: $write(" MUL");
252
        3'o5: $write(" BSF");
253
        default: $write(" XXX");
254
      endcase // case (rMXALU)      
255
      $writeh("=h",dut.xecu.xRESULT);
256
 
257
      // WRITEBACK
258
      $writeh("\tSR=", {dut.xecu.rMSR_C, dut.xecu.rMSR_IE}," ");
259
 
260
      if (dut.regf.fRDWE) begin
261
         case (dut.rMXDST)
262
           2'o2: $writeh("R",dut.rRW,"=RAM(h",dut.regf.xWDAT,")");
263
           2'o1: $writeh("R",dut.rRW,"=LNK(h",dut.regf.xWDAT,")");
264
           2'o0: $writeh("R",dut.rRW,"=ALU(h",dut.regf.xWDAT,")");
265
         endcase // case (rMXDST)
266
      end
267
 
268
      // STORE
269
      if (dwb_stb_o & dwb_we_o) $writeh("RAM(",{dwb_adr_o,2'd0},")=",dwb_dat_o,":",dwb_sel_o);
270
 
271
 
272
   end
273
 
274
 
275
   // INTERNAL WIRING ////////////////////////////////////////////////////
276
 
277
   aeMB_edk32 #(16,16)
278
     dut (
279
          .sys_int_i(sys_int_i),
280
          .dwb_ack_i(dwb_ack_i),
281
          .dwb_stb_o(dwb_stb_o),
282
          .dwb_adr_o(dwb_adr_o),
283
          .dwb_dat_o(dwb_dat_o),
284
          .dwb_dat_i(dwb_dat_i),
285
          .dwb_wre_o(dwb_we_o),
286
          .dwb_sel_o(dwb_sel_o),
287
          .iwb_adr_o(iwb_adr_o),
288
          .iwb_dat_i(iwb_dat_i),
289
          .iwb_stb_o(iwb_stb_o),
290
          .iwb_ack_i(iwb_ack_i),
291
          .sys_clk_i(sys_clk_i),
292
          .sys_rst_i(sys_rst_i)
293
          );
294
 
295
 
296
 
297
 
298
 
299
endmodule // testbench

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.