OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [sim/] [verilog/] [edk32.v] - Blame information for rev 43

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 43 sybreon
// $Id: edk32.v,v 1.2 2007-11-02 19:16:10 sybreon Exp $
2 41 sybreon
//
3
// AEMB EDK 3.2 Compatible Core TEST
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7
// This library is free software; you can redistribute it and/or
8
// modify it under the terms of the GNU Lesser General Public License
9
// as published by the Free Software Foundation; either version 2.1 of
10
// the License, or (at your option) any later version.
11
//
12
// This library is distributed in the hope that it will be useful, but
13
// WITHOUT ANY WARRANTY; without even the implied warranty of
14
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
15
// Lesser General Public License for more details.
16
//  
17
// You should have received a copy of the GNU Lesser General Public
18
// License along with this library; if not, write to the Free Software
19
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
20
// USA
21
//
22
// $Log: not supported by cvs2svn $
23 43 sybreon
// Revision 1.1  2007/11/02 03:25:45  sybreon
24
// New EDK 3.2 compatible design with optional barrel-shifter and multiplier.
25
// Fixed various minor data hazard bugs.
26
// Code compatible with -O0/1/2/3/s generated code.
27
//
28 41 sybreon
 
29
module edk32 ();
30
 
31
 
32
   // INITIAL SETUP //////////////////////////////////////////////////////
33
 
34
   reg       sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i;
35
   reg       svc;
36
   integer   inttime;
37
 
38
   always #5 sys_clk_i = ~sys_clk_i;
39
 
40
   initial begin
41
      //$dumpfile("dump.vcd");
42
      //$dumpvars(1,dut);
43
   end
44
 
45
   initial begin
46
      svc = 0;
47
      sys_clk_i = 1;
48
      sys_rst_i = 1;
49
      sys_int_i = 0;
50
      sys_exc_i = 0;
51
      #30 sys_rst_i = 0;
52
   end
53
 
54
   initial fork
55
      //inttime $display("FSADFASDFSDAF");      
56 43 sybreon
      //#10000 sys_int_i = 1;
57 41 sybreon
      //#1100 sys_int_i = 0;
58
      //#100000 $displayh("\nTest Completed."); 
59
      //#4000 $finish;
60
   join
61
 
62
 
63
   // FAKE MEMORY ////////////////////////////////////////////////////////
64
 
65
   wire [15:2] iwb_adr_o;
66
   wire        iwb_stb_o;
67
   wire        dwb_stb_o;
68
   reg [31:0]  rom [0:65535];
69
   wire [31:0] iwb_dat_i;
70
   reg         iwb_ack_i, dwb_ack_i;
71
 
72
   reg [31:0]  ram[0:65535];
73
   wire [31:0] dwb_dat_i;
74
   reg [31:0]  dwblat;
75
   wire        dwb_we_o;
76
   reg [15:2]  dadr,iadr;
77
   wire [3:0]  dwb_sel_o;
78
   wire [31:0] dwb_dat_o;
79
   wire [15:2] dwb_adr_o;
80
   wire [31:0] dwb_dat_t;
81
 
82
   assign      {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]} = ram[dadr];
83
   assign      {iwb_dat_i[7:0],iwb_dat_i[15:8],iwb_dat_i[23:16],iwb_dat_i[31:24]} = ram[iadr];
84
   assign      {dwb_dat_t} = ram[dwb_adr_o];
85
 
86
   always @(negedge sys_clk_i) begin
87
      iwb_ack_i <= #1 iwb_stb_o;
88 43 sybreon
      dwb_ack_i <= #1 dwb_stb_o;
89 41 sybreon
      iadr <= #1 iwb_adr_o;
90
      dadr <= dwb_adr_o;
91
 
92
      if (dwb_we_o & dwb_stb_o) begin
93
         case (dwb_sel_o)
94
           4'h1: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_t[23:0]};
95
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:24],dwb_dat_o[15:8],dwb_dat_t[15:0]};
96
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_t[7:0]};
97
           4'h8: ram[dwb_adr_o] <= {dwb_dat_t[31:8],dwb_dat_o[31:24]};
98
           4'h3: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_t[15:0]};
99
           4'hC: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_o[31:24]};
100
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_o[23:16],dwb_dat_o[31:24]};
101 43 sybreon
         endcase // case (dwb_sel_o)
102
      end // if (dwb_we_o & dwb_stb_o)
103
   end // always @ (negedge sys_clk_i)
104 41 sybreon
 
105
   integer i;
106
   initial begin
107
      for (i=0;i<65535;i=i+1) begin
108
         ram[i] <= $random;
109 43 sybreon
      end
110 41 sybreon
      #1 $readmemh("aeMB.rom",ram);
111
   end
112
 
113
   // DISPLAY OUTPUTS ///////////////////////////////////////////////////
114
 
115
   //assign dut.rRESULT = dut.rSIMM;   
116 43 sybreon
 
117
   integer rnd;
118 41 sybreon
   always @(posedge sys_clk_i) begin
119 43 sybreon
 
120
      // Interrupt Monitors
121
      if (!dut.rMSR_IE) begin
122
         rnd = $random % 30;
123
         inttime = $stime + 1000 + (rnd*rnd * 10);
124
      end
125
      if ($stime > inttime) begin
126
         sys_int_i = 1;
127
         svc = 0;
128
      end
129
      if (($stime > inttime + 500) && !svc) begin
130
         $display("\n\t*** INTERRUPT TIMEOUT ***", inttime);
131
         $finish;
132
      end
133
      if (dwb_we_o & (dwb_dat_o == "RTNI")) sys_int_i = 0;
134
      if (|dut.rXCE) svc = 1;
135 41 sybreon
 
136
      // Pass/Fail Monitors
137
      if (dwb_we_o & (dwb_dat_o == "FAIL")) begin
138
         $display("\n\tFAIL");
139
         $finish;
140 43 sybreon
      end
141 41 sybreon
      if (iwb_dat_i == 32'hb8000000) begin
142
         $display("\n\t*** PASSED ALL TESTS ***");
143
         $finish;
144
      end
145
   end // always @ (posedge sys_clk_i)
146
 
147
 
148
   always @(posedge sys_clk_i) if (dut.gena) begin
149
      $write ("\n", ($stime/10));
150 43 sybreon
      $writeh ("\tPC=", {iwb_adr_o,2'd0});
151 41 sybreon
 
152
      // DECODE
153
      $writeh ("\t");
154 43 sybreon
 
155
      case (dut.bpcu.rATOM)
156
        2'o2, 2'o1: $write("/");
157
        2'o0, 2'o3: $write("\\");
158
      endcase // case (dut.bpcu.rATOM)
159 41 sybreon
 
160 43 sybreon
 
161 41 sybreon
      case ({dut.rBRA, dut.rDLY})
162
        2'b00: $write(" ");
163
        2'b01: $write(".");
164
        2'b10: $write("-");
165
        2'b11: $write("+");
166
      endcase // case ({dut.rBRA, dut.rDLY})
167
 
168
      case (dut.rOPC)
169
        6'o00: if (dut.rRD == 0) $write("   "); else $write("ADD");
170
        6'o01: $write("RSUB");
171
        6'o02: $write("ADDC");
172
        6'o03: $write("RSUBC");
173
        6'o04: $write("ADDK");
174
        6'o05: case (dut.rIMM[1:0])
175
                 2'o0: $write("RSUBK");
176
                 2'o1: $write("CMP");
177
                 2'o3: $write("CMPU");
178
                 default: $write("XXX");
179 43 sybreon
               endcase // case (dut.rIMM[1:0])
180 41 sybreon
        6'o06: $write("ADDKC");
181
        6'o07: $write("RSUBKC");
182
 
183
        6'o10: $write("ADDI");
184
        6'o11: $write("RSUBI");
185
        6'o12: $write("ADDIC");
186
        6'o13: $write("RSUBIC");
187
        6'o14: $write("ADDIK");
188
        6'o15: $write("RSUBIK");
189
        6'o16: $write("ADDIKC");
190
        6'o17: $write("RSUBIKC");
191
 
192
        6'o20: $write("MUL");
193
        6'o21: case (dut.rALT[10:9])
194
                 2'o0: $write("BSRL");
195
                 2'o1: $write("BSRA");
196
                 2'o2: $write("BSLL");
197
                 default: $write("XXX");
198 43 sybreon
               endcase // case (dut.rALT[10:9])
199 41 sybreon
        6'o22: $write("IDIV");
200
 
201
        6'o30: $write("MULI");
202
        6'o31: case (dut.rALT[10:9])
203
                 2'o0: $write("BSRLI");
204
                 2'o1: $write("BSRAI");
205
                 2'o2: $write("BSLLI");
206
                 default: $write("XXX");
207 43 sybreon
               endcase // case (dut.rALT[10:9])
208 41 sybreon
        6'o33: $write("GETPUT");
209
 
210
        6'o40: $write("OR");
211
        6'o41: $write("AND");
212
        6'o42: if (dut.rRD == 0) $write("   "); else $write("XOR");
213
        6'o43: $write("ANDN");
214 43 sybreon
        6'o44: case (dut.rIMM[6:5])
215
                 2'o0: $write("SRA");
216
                 2'o1: $write("SRC");
217
                 2'o2: $write("SRL");
218
                 2'o3: if (dut.rIMM[0]) $write("SEXT16"); else $write("SEXT8");
219
               endcase // case (dut.rIMM[6:5])
220
 
221 41 sybreon
        6'o45: $write("MOV");
222 43 sybreon
        6'o46: case (dut.rRA[3:2])
223
                 3'o0: $write("BR");
224
                 3'o1: $write("BRL");
225
                 3'o2: $write("BRA");
226
                 3'o3: $write("BRAL");
227
               endcase // case (dut.rRA[3:2])
228
 
229 41 sybreon
        6'o47: case (dut.rRD[2:0])
230
                 3'o0: $write("BEQ");
231
                 3'o1: $write("BNE");
232
                 3'o2: $write("BLT");
233
                 3'o3: $write("BLE");
234
                 3'o4: $write("BGT");
235
                 3'o5: $write("BGE");
236
                 default: $write("XXX");
237
               endcase // case (dut.rRD[2:0])
238
 
239
        6'o50: $write("ORI");
240
        6'o51: $write("ANDI");
241
        6'o52: $write("XORI");
242
        6'o53: $write("ANDNI");
243
        6'o54: $write("IMMI");
244 43 sybreon
        6'o55: case (dut.rRD[1:0])
245
                 2'o0: $write("RTSD");
246
                 2'o1: $write("RTID");
247
                 2'o2: $write("RTBD");
248
                 default: $write("XXX");
249
               endcase
250
        6'o56: case (dut.rRA[3:2])
251
                 3'o0: $write("BRI");
252
                 3'o1: $write("BRLI");
253
                 3'o2: $write("BRAI");
254
                 3'o3: $write("BRALI");
255
               endcase // case (dut.rRA[3:2])
256 41 sybreon
        6'o57: case (dut.rRD[2:0])
257
                 3'o0: $write("BEQI");
258
                 3'o1: $write("BNEI");
259
                 3'o2: $write("BLTI");
260
                 3'o3: $write("BLEI");
261
                 3'o4: $write("BGTI");
262
                 3'o5: $write("BGEI");
263
                 default: $write("XXX");
264
               endcase // case (dut.rRD[2:0])
265
 
266
        6'o60: $write("LBU");
267
        6'o61: $write("LHU");
268
        6'o62: $write("LW");
269
        6'o64: $write("SB");
270
        6'o65: $write("SH");
271
        6'o66: $write("SW");
272
 
273
        6'o70: $write("LBUI");
274
        6'o71: $write("LHUI");
275
        6'o72: $write("LWI");
276
        6'o74: $write("SBI");
277
        6'o75: $write("SHI");
278
        6'o76: $write("SWI");
279
 
280
        default: $write("XXX");
281 43 sybreon
      endcase // case (dut.rOPC)
282 41 sybreon
 
283
      case (dut.rOPC[3])
284
        1'b1: $writeh("\tr",dut.rRD,", r",dut.rRA,", h",dut.rIMM);
285
        1'b0: $writeh("\tr",dut.rRD,", r",dut.rRA,", r",dut.rRB,"  ");
286 43 sybreon
      endcase // case (dut.rOPC[3])
287 41 sybreon
 
288
 
289
      // ALU
290
      $write("\t");
291 43 sybreon
      //$writeh(" I=",dut.rSIMM);
292 41 sybreon
      $writeh(" A=",dut.rOPA);
293
      $writeh(" B=",dut.rOPB);
294
 
295
      case (dut.rMXALU)
296
        3'o0: $write(" ADD");
297
        3'o1: $write(" LOG");
298
        3'o2: $write(" SFT");
299
        3'o3: $write(" MOV");
300
        3'o4: $write(" MUL");
301
        3'o5: $write(" BSF");
302
        default: $write(" XXX");
303 43 sybreon
      endcase // case (dut.rMXALU)
304 41 sybreon
      $writeh("=h",dut.xecu.xRESULT);
305
 
306
      // WRITEBACK
307 43 sybreon
      $writeh("\tSR=", {dut.xecu.rMSR_BIP, dut.xecu.rMSR_C, dut.xecu.rMSR_IE, dut.xecu.rMSR_BE}," ");
308 41 sybreon
 
309
      if (dut.regf.fRDWE) begin
310
         case (dut.rMXDST)
311
           2'o2: $writeh("R",dut.rRW,"=RAM(h",dut.regf.xWDAT,")");
312
           2'o1: $writeh("R",dut.rRW,"=LNK(h",dut.regf.xWDAT,")");
313
           2'o0: $writeh("R",dut.rRW,"=ALU(h",dut.regf.xWDAT,")");
314 43 sybreon
         endcase // case (dut.rMXDST)
315 41 sybreon
      end
316
 
317
      // STORE
318
      if (dwb_stb_o & dwb_we_o) $writeh("RAM(",{dwb_adr_o,2'd0},")=",dwb_dat_o,":",dwb_sel_o);
319
 
320 43 sybreon
   end // if (dut.gena)
321 41 sybreon
 
322
 
323
   // INTERNAL WIRING ////////////////////////////////////////////////////
324
 
325
   aeMB_edk32 #(16,16)
326
     dut (
327
          .sys_int_i(sys_int_i),
328
          .dwb_ack_i(dwb_ack_i),
329
          .dwb_stb_o(dwb_stb_o),
330
          .dwb_adr_o(dwb_adr_o),
331
          .dwb_dat_o(dwb_dat_o),
332
          .dwb_dat_i(dwb_dat_i),
333
          .dwb_wre_o(dwb_we_o),
334
          .dwb_sel_o(dwb_sel_o),
335
          .iwb_adr_o(iwb_adr_o),
336
          .iwb_dat_i(iwb_dat_i),
337
          .iwb_stb_o(iwb_stb_o),
338
          .iwb_ack_i(iwb_ack_i),
339
          .sys_clk_i(sys_clk_i),
340
          .sys_rst_i(sys_rst_i)
341
          );
342
 
343
 
344
 
345
 
346
 
347 43 sybreon
endmodule // edk32

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.