OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [sim/] [verilog/] [edk32.v] - Blame information for rev 49

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 49 sybreon
// $Id: edk32.v,v 1.3 2007-11-05 10:59:31 sybreon Exp $
2 41 sybreon
//
3
// AEMB EDK 3.2 Compatible Core TEST
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7
// This library is free software; you can redistribute it and/or
8
// modify it under the terms of the GNU Lesser General Public License
9
// as published by the Free Software Foundation; either version 2.1 of
10
// the License, or (at your option) any later version.
11
//
12
// This library is distributed in the hope that it will be useful, but
13
// WITHOUT ANY WARRANTY; without even the implied warranty of
14
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
15
// Lesser General Public License for more details.
16
//  
17
// You should have received a copy of the GNU Lesser General Public
18
// License along with this library; if not, write to the Free Software
19
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
20
// USA
21
//
22
// $Log: not supported by cvs2svn $
23 49 sybreon
// Revision 1.2  2007/11/02 19:16:10  sybreon
24
// Added interrupt simulation.
25
// Changed "human readable" simulation output.
26
//
27 43 sybreon
// Revision 1.1  2007/11/02 03:25:45  sybreon
28
// New EDK 3.2 compatible design with optional barrel-shifter and multiplier.
29
// Fixed various minor data hazard bugs.
30
// Code compatible with -O0/1/2/3/s generated code.
31
//
32 41 sybreon
 
33
module edk32 ();
34 49 sybreon
 
35
`include "random.v"
36
 
37 41 sybreon
   // INITIAL SETUP //////////////////////////////////////////////////////
38
 
39
   reg       sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i;
40
   reg       svc;
41
   integer   inttime;
42 49 sybreon
   integer   seed;
43 41 sybreon
 
44
   always #5 sys_clk_i = ~sys_clk_i;
45
 
46
   initial begin
47
      //$dumpfile("dump.vcd");
48
      //$dumpvars(1,dut);
49
   end
50
 
51
   initial begin
52 49 sybreon
      seed = randseed;
53 41 sybreon
      svc = 0;
54 49 sybreon
      sys_clk_i = $random(seed);
55 41 sybreon
      sys_rst_i = 1;
56
      sys_int_i = 0;
57
      sys_exc_i = 0;
58
      #30 sys_rst_i = 0;
59
   end
60
 
61
   initial fork
62
      //inttime $display("FSADFASDFSDAF");      
63 43 sybreon
      //#10000 sys_int_i = 1;
64 41 sybreon
      //#1100 sys_int_i = 0;
65
      //#100000 $displayh("\nTest Completed."); 
66
      //#4000 $finish;
67
   join
68
 
69
 
70
   // FAKE MEMORY ////////////////////////////////////////////////////////
71
 
72
   wire [15:2] iwb_adr_o;
73
   wire        iwb_stb_o;
74
   wire        dwb_stb_o;
75
   reg [31:0]  rom [0:65535];
76
   wire [31:0] iwb_dat_i;
77
   reg         iwb_ack_i, dwb_ack_i;
78
 
79
   reg [31:0]  ram[0:65535];
80
   wire [31:0] dwb_dat_i;
81
   reg [31:0]  dwblat;
82
   wire        dwb_we_o;
83
   reg [15:2]  dadr,iadr;
84
   wire [3:0]  dwb_sel_o;
85
   wire [31:0] dwb_dat_o;
86
   wire [15:2] dwb_adr_o;
87
   wire [31:0] dwb_dat_t;
88
 
89
   assign      {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]} = ram[dadr];
90
   assign      {iwb_dat_i[7:0],iwb_dat_i[15:8],iwb_dat_i[23:16],iwb_dat_i[31:24]} = ram[iadr];
91
   assign      {dwb_dat_t} = ram[dwb_adr_o];
92
 
93
   always @(negedge sys_clk_i) begin
94
      iwb_ack_i <= #1 iwb_stb_o;
95 43 sybreon
      dwb_ack_i <= #1 dwb_stb_o;
96 41 sybreon
      iadr <= #1 iwb_adr_o;
97
      dadr <= dwb_adr_o;
98
 
99
      if (dwb_we_o & dwb_stb_o) begin
100
         case (dwb_sel_o)
101
           4'h1: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_t[23:0]};
102
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:24],dwb_dat_o[15:8],dwb_dat_t[15:0]};
103
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_t[7:0]};
104
           4'h8: ram[dwb_adr_o] <= {dwb_dat_t[31:8],dwb_dat_o[31:24]};
105
           4'h3: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_t[15:0]};
106
           4'hC: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_o[31:24]};
107
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_o[23:16],dwb_dat_o[31:24]};
108 43 sybreon
         endcase // case (dwb_sel_o)
109
      end // if (dwb_we_o & dwb_stb_o)
110
   end // always @ (negedge sys_clk_i)
111 41 sybreon
 
112
   integer i;
113
   initial begin
114
      for (i=0;i<65535;i=i+1) begin
115
         ram[i] <= $random;
116 43 sybreon
      end
117 41 sybreon
      #1 $readmemh("aeMB.rom",ram);
118
   end
119
 
120
   // DISPLAY OUTPUTS ///////////////////////////////////////////////////
121
 
122
   //assign dut.rRESULT = dut.rSIMM;   
123 43 sybreon
 
124
   integer rnd;
125 41 sybreon
   always @(posedge sys_clk_i) begin
126 43 sybreon
 
127
      // Interrupt Monitors
128
      if (!dut.rMSR_IE) begin
129
         rnd = $random % 30;
130
         inttime = $stime + 1000 + (rnd*rnd * 10);
131
      end
132
      if ($stime > inttime) begin
133
         sys_int_i = 1;
134
         svc = 0;
135
      end
136
      if (($stime > inttime + 500) && !svc) begin
137
         $display("\n\t*** INTERRUPT TIMEOUT ***", inttime);
138
         $finish;
139
      end
140
      if (dwb_we_o & (dwb_dat_o == "RTNI")) sys_int_i = 0;
141
      if (|dut.rXCE) svc = 1;
142 41 sybreon
 
143
      // Pass/Fail Monitors
144
      if (dwb_we_o & (dwb_dat_o == "FAIL")) begin
145
         $display("\n\tFAIL");
146
         $finish;
147 43 sybreon
      end
148 41 sybreon
      if (iwb_dat_i == 32'hb8000000) begin
149
         $display("\n\t*** PASSED ALL TESTS ***");
150
         $finish;
151
      end
152
   end // always @ (posedge sys_clk_i)
153
 
154
 
155
   always @(posedge sys_clk_i) if (dut.gena) begin
156
      $write ("\n", ($stime/10));
157 43 sybreon
      $writeh ("\tPC=", {iwb_adr_o,2'd0});
158 41 sybreon
 
159
      // DECODE
160
      $writeh ("\t");
161 43 sybreon
 
162
      case (dut.bpcu.rATOM)
163
        2'o2, 2'o1: $write("/");
164
        2'o0, 2'o3: $write("\\");
165
      endcase // case (dut.bpcu.rATOM)
166 41 sybreon
 
167 43 sybreon
 
168 41 sybreon
      case ({dut.rBRA, dut.rDLY})
169
        2'b00: $write(" ");
170
        2'b01: $write(".");
171
        2'b10: $write("-");
172
        2'b11: $write("+");
173
      endcase // case ({dut.rBRA, dut.rDLY})
174
 
175
      case (dut.rOPC)
176
        6'o00: if (dut.rRD == 0) $write("   "); else $write("ADD");
177
        6'o01: $write("RSUB");
178
        6'o02: $write("ADDC");
179
        6'o03: $write("RSUBC");
180
        6'o04: $write("ADDK");
181
        6'o05: case (dut.rIMM[1:0])
182
                 2'o0: $write("RSUBK");
183
                 2'o1: $write("CMP");
184
                 2'o3: $write("CMPU");
185
                 default: $write("XXX");
186 43 sybreon
               endcase // case (dut.rIMM[1:0])
187 41 sybreon
        6'o06: $write("ADDKC");
188
        6'o07: $write("RSUBKC");
189
 
190
        6'o10: $write("ADDI");
191
        6'o11: $write("RSUBI");
192
        6'o12: $write("ADDIC");
193
        6'o13: $write("RSUBIC");
194
        6'o14: $write("ADDIK");
195
        6'o15: $write("RSUBIK");
196
        6'o16: $write("ADDIKC");
197
        6'o17: $write("RSUBIKC");
198
 
199
        6'o20: $write("MUL");
200
        6'o21: case (dut.rALT[10:9])
201
                 2'o0: $write("BSRL");
202
                 2'o1: $write("BSRA");
203
                 2'o2: $write("BSLL");
204
                 default: $write("XXX");
205 43 sybreon
               endcase // case (dut.rALT[10:9])
206 41 sybreon
        6'o22: $write("IDIV");
207
 
208
        6'o30: $write("MULI");
209
        6'o31: case (dut.rALT[10:9])
210
                 2'o0: $write("BSRLI");
211
                 2'o1: $write("BSRAI");
212
                 2'o2: $write("BSLLI");
213
                 default: $write("XXX");
214 43 sybreon
               endcase // case (dut.rALT[10:9])
215 41 sybreon
        6'o33: $write("GETPUT");
216
 
217
        6'o40: $write("OR");
218
        6'o41: $write("AND");
219
        6'o42: if (dut.rRD == 0) $write("   "); else $write("XOR");
220
        6'o43: $write("ANDN");
221 43 sybreon
        6'o44: case (dut.rIMM[6:5])
222
                 2'o0: $write("SRA");
223
                 2'o1: $write("SRC");
224
                 2'o2: $write("SRL");
225
                 2'o3: if (dut.rIMM[0]) $write("SEXT16"); else $write("SEXT8");
226
               endcase // case (dut.rIMM[6:5])
227
 
228 41 sybreon
        6'o45: $write("MOV");
229 43 sybreon
        6'o46: case (dut.rRA[3:2])
230
                 3'o0: $write("BR");
231
                 3'o1: $write("BRL");
232
                 3'o2: $write("BRA");
233
                 3'o3: $write("BRAL");
234
               endcase // case (dut.rRA[3:2])
235
 
236 41 sybreon
        6'o47: case (dut.rRD[2:0])
237
                 3'o0: $write("BEQ");
238
                 3'o1: $write("BNE");
239
                 3'o2: $write("BLT");
240
                 3'o3: $write("BLE");
241
                 3'o4: $write("BGT");
242
                 3'o5: $write("BGE");
243
                 default: $write("XXX");
244
               endcase // case (dut.rRD[2:0])
245
 
246
        6'o50: $write("ORI");
247
        6'o51: $write("ANDI");
248
        6'o52: $write("XORI");
249
        6'o53: $write("ANDNI");
250
        6'o54: $write("IMMI");
251 43 sybreon
        6'o55: case (dut.rRD[1:0])
252
                 2'o0: $write("RTSD");
253
                 2'o1: $write("RTID");
254
                 2'o2: $write("RTBD");
255
                 default: $write("XXX");
256
               endcase
257
        6'o56: case (dut.rRA[3:2])
258
                 3'o0: $write("BRI");
259
                 3'o1: $write("BRLI");
260
                 3'o2: $write("BRAI");
261
                 3'o3: $write("BRALI");
262
               endcase // case (dut.rRA[3:2])
263 41 sybreon
        6'o57: case (dut.rRD[2:0])
264
                 3'o0: $write("BEQI");
265
                 3'o1: $write("BNEI");
266
                 3'o2: $write("BLTI");
267
                 3'o3: $write("BLEI");
268
                 3'o4: $write("BGTI");
269
                 3'o5: $write("BGEI");
270
                 default: $write("XXX");
271
               endcase // case (dut.rRD[2:0])
272
 
273
        6'o60: $write("LBU");
274
        6'o61: $write("LHU");
275
        6'o62: $write("LW");
276
        6'o64: $write("SB");
277
        6'o65: $write("SH");
278
        6'o66: $write("SW");
279
 
280
        6'o70: $write("LBUI");
281
        6'o71: $write("LHUI");
282
        6'o72: $write("LWI");
283
        6'o74: $write("SBI");
284
        6'o75: $write("SHI");
285
        6'o76: $write("SWI");
286
 
287
        default: $write("XXX");
288 43 sybreon
      endcase // case (dut.rOPC)
289 41 sybreon
 
290
      case (dut.rOPC[3])
291
        1'b1: $writeh("\tr",dut.rRD,", r",dut.rRA,", h",dut.rIMM);
292
        1'b0: $writeh("\tr",dut.rRD,", r",dut.rRA,", r",dut.rRB,"  ");
293 43 sybreon
      endcase // case (dut.rOPC[3])
294 41 sybreon
 
295
 
296
      // ALU
297
      $write("\t");
298 43 sybreon
      //$writeh(" I=",dut.rSIMM);
299 41 sybreon
      $writeh(" A=",dut.rOPA);
300
      $writeh(" B=",dut.rOPB);
301
 
302
      case (dut.rMXALU)
303
        3'o0: $write(" ADD");
304
        3'o1: $write(" LOG");
305
        3'o2: $write(" SFT");
306
        3'o3: $write(" MOV");
307
        3'o4: $write(" MUL");
308
        3'o5: $write(" BSF");
309
        default: $write(" XXX");
310 43 sybreon
      endcase // case (dut.rMXALU)
311 41 sybreon
      $writeh("=h",dut.xecu.xRESULT);
312
 
313
      // WRITEBACK
314 43 sybreon
      $writeh("\tSR=", {dut.xecu.rMSR_BIP, dut.xecu.rMSR_C, dut.xecu.rMSR_IE, dut.xecu.rMSR_BE}," ");
315 41 sybreon
 
316
      if (dut.regf.fRDWE) begin
317
         case (dut.rMXDST)
318
           2'o2: $writeh("R",dut.rRW,"=RAM(h",dut.regf.xWDAT,")");
319
           2'o1: $writeh("R",dut.rRW,"=LNK(h",dut.regf.xWDAT,")");
320
           2'o0: $writeh("R",dut.rRW,"=ALU(h",dut.regf.xWDAT,")");
321 43 sybreon
         endcase // case (dut.rMXDST)
322 41 sybreon
      end
323
 
324
      // STORE
325
      if (dwb_stb_o & dwb_we_o) $writeh("RAM(",{dwb_adr_o,2'd0},")=",dwb_dat_o,":",dwb_sel_o);
326
 
327 43 sybreon
   end // if (dut.gena)
328 41 sybreon
 
329
 
330
   // INTERNAL WIRING ////////////////////////////////////////////////////
331
 
332
   aeMB_edk32 #(16,16)
333
     dut (
334
          .sys_int_i(sys_int_i),
335
          .dwb_ack_i(dwb_ack_i),
336
          .dwb_stb_o(dwb_stb_o),
337
          .dwb_adr_o(dwb_adr_o),
338
          .dwb_dat_o(dwb_dat_o),
339
          .dwb_dat_i(dwb_dat_i),
340
          .dwb_wre_o(dwb_we_o),
341
          .dwb_sel_o(dwb_sel_o),
342
          .iwb_adr_o(iwb_adr_o),
343
          .iwb_dat_i(iwb_dat_i),
344
          .iwb_stb_o(iwb_stb_o),
345
          .iwb_ack_i(iwb_ack_i),
346
          .sys_clk_i(sys_clk_i),
347
          .sys_rst_i(sys_rst_i)
348
          );
349
 
350
 
351
 
352
 
353
 
354 43 sybreon
endmodule // edk32

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.