OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [sim/] [verilog/] [edk32.v] - Blame information for rev 50

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 sybreon
// $Id: edk32.v,v 1.4 2007-11-08 14:18:00 sybreon Exp $
2 41 sybreon
//
3
// AEMB EDK 3.2 Compatible Core TEST
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7
// This library is free software; you can redistribute it and/or
8
// modify it under the terms of the GNU Lesser General Public License
9
// as published by the Free Software Foundation; either version 2.1 of
10
// the License, or (at your option) any later version.
11
//
12
// This library is distributed in the hope that it will be useful, but
13
// WITHOUT ANY WARRANTY; without even the implied warranty of
14
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
15
// Lesser General Public License for more details.
16
//  
17
// You should have received a copy of the GNU Lesser General Public
18
// License along with this library; if not, write to the Free Software
19
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
20
// USA
21
//
22
// $Log: not supported by cvs2svn $
23 50 sybreon
// Revision 1.3  2007/11/05 10:59:31  sybreon
24
// Added random seed for simulation.
25
//
26 49 sybreon
// Revision 1.2  2007/11/02 19:16:10  sybreon
27
// Added interrupt simulation.
28
// Changed "human readable" simulation output.
29
//
30 43 sybreon
// Revision 1.1  2007/11/02 03:25:45  sybreon
31
// New EDK 3.2 compatible design with optional barrel-shifter and multiplier.
32
// Fixed various minor data hazard bugs.
33
// Code compatible with -O0/1/2/3/s generated code.
34
//
35 41 sybreon
 
36
module edk32 ();
37 49 sybreon
 
38
`include "random.v"
39
 
40 41 sybreon
   // INITIAL SETUP //////////////////////////////////////////////////////
41
 
42
   reg       sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i;
43
   reg       svc;
44
   integer   inttime;
45 49 sybreon
   integer   seed;
46 41 sybreon
 
47
   always #5 sys_clk_i = ~sys_clk_i;
48
 
49
   initial begin
50 50 sybreon
      $dumpfile("dump.vcd");
51
      $dumpvars(1,dut);
52 41 sybreon
   end
53
 
54
   initial begin
55 49 sybreon
      seed = randseed;
56 41 sybreon
      svc = 0;
57 49 sybreon
      sys_clk_i = $random(seed);
58 41 sybreon
      sys_rst_i = 1;
59
      sys_int_i = 0;
60
      sys_exc_i = 0;
61
      #30 sys_rst_i = 0;
62
   end
63
 
64
   initial fork
65
      //inttime $display("FSADFASDFSDAF");      
66 43 sybreon
      //#10000 sys_int_i = 1;
67 41 sybreon
      //#1100 sys_int_i = 0;
68
      //#100000 $displayh("\nTest Completed."); 
69
      //#4000 $finish;
70
   join
71
 
72
 
73
   // FAKE MEMORY ////////////////////////////////////////////////////////
74
 
75
   wire [15:2] iwb_adr_o;
76
   wire        iwb_stb_o;
77
   wire        dwb_stb_o;
78
   reg [31:0]  rom [0:65535];
79
   wire [31:0] iwb_dat_i;
80
   reg         iwb_ack_i, dwb_ack_i;
81
 
82
   reg [31:0]  ram[0:65535];
83
   wire [31:0] dwb_dat_i;
84
   reg [31:0]  dwblat;
85
   wire        dwb_we_o;
86
   reg [15:2]  dadr,iadr;
87
   wire [3:0]  dwb_sel_o;
88
   wire [31:0] dwb_dat_o;
89
   wire [15:2] dwb_adr_o;
90
   wire [31:0] dwb_dat_t;
91
 
92
   assign      {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]} = ram[dadr];
93
   assign      {iwb_dat_i[7:0],iwb_dat_i[15:8],iwb_dat_i[23:16],iwb_dat_i[31:24]} = ram[iadr];
94
   assign      {dwb_dat_t} = ram[dwb_adr_o];
95
 
96
   always @(negedge sys_clk_i) begin
97
      iwb_ack_i <= #1 iwb_stb_o;
98 43 sybreon
      dwb_ack_i <= #1 dwb_stb_o;
99 41 sybreon
      iadr <= #1 iwb_adr_o;
100
      dadr <= dwb_adr_o;
101
 
102
      if (dwb_we_o & dwb_stb_o) begin
103
         case (dwb_sel_o)
104
           4'h1: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_t[23:0]};
105
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:24],dwb_dat_o[15:8],dwb_dat_t[15:0]};
106
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_t[7:0]};
107
           4'h8: ram[dwb_adr_o] <= {dwb_dat_t[31:8],dwb_dat_o[31:24]};
108
           4'h3: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_t[15:0]};
109
           4'hC: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_o[31:24]};
110
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_o[23:16],dwb_dat_o[31:24]};
111 43 sybreon
         endcase // case (dwb_sel_o)
112
      end // if (dwb_we_o & dwb_stb_o)
113
   end // always @ (negedge sys_clk_i)
114 41 sybreon
 
115
   integer i;
116
   initial begin
117
      for (i=0;i<65535;i=i+1) begin
118
         ram[i] <= $random;
119 43 sybreon
      end
120 41 sybreon
      #1 $readmemh("aeMB.rom",ram);
121
   end
122
 
123
   // DISPLAY OUTPUTS ///////////////////////////////////////////////////
124
 
125
   //assign dut.rRESULT = dut.rSIMM;   
126 43 sybreon
 
127
   integer rnd;
128 41 sybreon
   always @(posedge sys_clk_i) begin
129 43 sybreon
 
130
      // Interrupt Monitors
131
      if (!dut.rMSR_IE) begin
132
         rnd = $random % 30;
133
         inttime = $stime + 1000 + (rnd*rnd * 10);
134
      end
135
      if ($stime > inttime) begin
136
         sys_int_i = 1;
137
         svc = 0;
138
      end
139
      if (($stime > inttime + 500) && !svc) begin
140
         $display("\n\t*** INTERRUPT TIMEOUT ***", inttime);
141
         $finish;
142
      end
143
      if (dwb_we_o & (dwb_dat_o == "RTNI")) sys_int_i = 0;
144
      if (|dut.rXCE) svc = 1;
145 41 sybreon
 
146
      // Pass/Fail Monitors
147
      if (dwb_we_o & (dwb_dat_o == "FAIL")) begin
148
         $display("\n\tFAIL");
149
         $finish;
150 43 sybreon
      end
151 41 sybreon
      if (iwb_dat_i == 32'hb8000000) begin
152
         $display("\n\t*** PASSED ALL TESTS ***");
153
         $finish;
154
      end
155
   end // always @ (posedge sys_clk_i)
156
 
157
 
158
   always @(posedge sys_clk_i) if (dut.gena) begin
159
      $write ("\n", ($stime/10));
160 43 sybreon
      $writeh ("\tPC=", {iwb_adr_o,2'd0});
161 41 sybreon
 
162
      // DECODE
163
      $writeh ("\t");
164 43 sybreon
 
165
      case (dut.bpcu.rATOM)
166
        2'o2, 2'o1: $write("/");
167
        2'o0, 2'o3: $write("\\");
168
      endcase // case (dut.bpcu.rATOM)
169 41 sybreon
 
170 43 sybreon
 
171 41 sybreon
      case ({dut.rBRA, dut.rDLY})
172
        2'b00: $write(" ");
173
        2'b01: $write(".");
174
        2'b10: $write("-");
175
        2'b11: $write("+");
176
      endcase // case ({dut.rBRA, dut.rDLY})
177
 
178
      case (dut.rOPC)
179
        6'o00: if (dut.rRD == 0) $write("   "); else $write("ADD");
180
        6'o01: $write("RSUB");
181
        6'o02: $write("ADDC");
182
        6'o03: $write("RSUBC");
183
        6'o04: $write("ADDK");
184
        6'o05: case (dut.rIMM[1:0])
185
                 2'o0: $write("RSUBK");
186
                 2'o1: $write("CMP");
187
                 2'o3: $write("CMPU");
188
                 default: $write("XXX");
189 43 sybreon
               endcase // case (dut.rIMM[1:0])
190 41 sybreon
        6'o06: $write("ADDKC");
191
        6'o07: $write("RSUBKC");
192
 
193
        6'o10: $write("ADDI");
194
        6'o11: $write("RSUBI");
195
        6'o12: $write("ADDIC");
196
        6'o13: $write("RSUBIC");
197
        6'o14: $write("ADDIK");
198
        6'o15: $write("RSUBIK");
199
        6'o16: $write("ADDIKC");
200
        6'o17: $write("RSUBIKC");
201
 
202
        6'o20: $write("MUL");
203
        6'o21: case (dut.rALT[10:9])
204
                 2'o0: $write("BSRL");
205
                 2'o1: $write("BSRA");
206
                 2'o2: $write("BSLL");
207
                 default: $write("XXX");
208 43 sybreon
               endcase // case (dut.rALT[10:9])
209 41 sybreon
        6'o22: $write("IDIV");
210
 
211
        6'o30: $write("MULI");
212
        6'o31: case (dut.rALT[10:9])
213
                 2'o0: $write("BSRLI");
214
                 2'o1: $write("BSRAI");
215
                 2'o2: $write("BSLLI");
216
                 default: $write("XXX");
217 43 sybreon
               endcase // case (dut.rALT[10:9])
218 41 sybreon
        6'o33: $write("GETPUT");
219
 
220
        6'o40: $write("OR");
221
        6'o41: $write("AND");
222
        6'o42: if (dut.rRD == 0) $write("   "); else $write("XOR");
223
        6'o43: $write("ANDN");
224 43 sybreon
        6'o44: case (dut.rIMM[6:5])
225
                 2'o0: $write("SRA");
226
                 2'o1: $write("SRC");
227
                 2'o2: $write("SRL");
228
                 2'o3: if (dut.rIMM[0]) $write("SEXT16"); else $write("SEXT8");
229
               endcase // case (dut.rIMM[6:5])
230
 
231 41 sybreon
        6'o45: $write("MOV");
232 43 sybreon
        6'o46: case (dut.rRA[3:2])
233
                 3'o0: $write("BR");
234
                 3'o1: $write("BRL");
235
                 3'o2: $write("BRA");
236
                 3'o3: $write("BRAL");
237
               endcase // case (dut.rRA[3:2])
238
 
239 41 sybreon
        6'o47: case (dut.rRD[2:0])
240
                 3'o0: $write("BEQ");
241
                 3'o1: $write("BNE");
242
                 3'o2: $write("BLT");
243
                 3'o3: $write("BLE");
244
                 3'o4: $write("BGT");
245
                 3'o5: $write("BGE");
246
                 default: $write("XXX");
247
               endcase // case (dut.rRD[2:0])
248
 
249
        6'o50: $write("ORI");
250
        6'o51: $write("ANDI");
251
        6'o52: $write("XORI");
252
        6'o53: $write("ANDNI");
253
        6'o54: $write("IMMI");
254 43 sybreon
        6'o55: case (dut.rRD[1:0])
255
                 2'o0: $write("RTSD");
256
                 2'o1: $write("RTID");
257
                 2'o2: $write("RTBD");
258
                 default: $write("XXX");
259
               endcase
260
        6'o56: case (dut.rRA[3:2])
261
                 3'o0: $write("BRI");
262
                 3'o1: $write("BRLI");
263
                 3'o2: $write("BRAI");
264
                 3'o3: $write("BRALI");
265
               endcase // case (dut.rRA[3:2])
266 41 sybreon
        6'o57: case (dut.rRD[2:0])
267
                 3'o0: $write("BEQI");
268
                 3'o1: $write("BNEI");
269
                 3'o2: $write("BLTI");
270
                 3'o3: $write("BLEI");
271
                 3'o4: $write("BGTI");
272
                 3'o5: $write("BGEI");
273
                 default: $write("XXX");
274
               endcase // case (dut.rRD[2:0])
275
 
276
        6'o60: $write("LBU");
277
        6'o61: $write("LHU");
278
        6'o62: $write("LW");
279
        6'o64: $write("SB");
280
        6'o65: $write("SH");
281
        6'o66: $write("SW");
282
 
283
        6'o70: $write("LBUI");
284
        6'o71: $write("LHUI");
285
        6'o72: $write("LWI");
286
        6'o74: $write("SBI");
287
        6'o75: $write("SHI");
288
        6'o76: $write("SWI");
289
 
290
        default: $write("XXX");
291 43 sybreon
      endcase // case (dut.rOPC)
292 41 sybreon
 
293
      case (dut.rOPC[3])
294
        1'b1: $writeh("\tr",dut.rRD,", r",dut.rRA,", h",dut.rIMM);
295
        1'b0: $writeh("\tr",dut.rRD,", r",dut.rRA,", r",dut.rRB,"  ");
296 43 sybreon
      endcase // case (dut.rOPC[3])
297 41 sybreon
 
298
 
299
      // ALU
300
      $write("\t");
301 43 sybreon
      //$writeh(" I=",dut.rSIMM);
302 50 sybreon
      $writeh(" A=",dut.xecu.rOPA);
303
      $writeh(" B=",dut.xecu.rOPB);
304 41 sybreon
 
305
      case (dut.rMXALU)
306
        3'o0: $write(" ADD");
307
        3'o1: $write(" LOG");
308
        3'o2: $write(" SFT");
309
        3'o3: $write(" MOV");
310
        3'o4: $write(" MUL");
311
        3'o5: $write(" BSF");
312
        default: $write(" XXX");
313 43 sybreon
      endcase // case (dut.rMXALU)
314 41 sybreon
      $writeh("=h",dut.xecu.xRESULT);
315
 
316
      // WRITEBACK
317 43 sybreon
      $writeh("\tSR=", {dut.xecu.rMSR_BIP, dut.xecu.rMSR_C, dut.xecu.rMSR_IE, dut.xecu.rMSR_BE}," ");
318 41 sybreon
 
319
      if (dut.regf.fRDWE) begin
320
         case (dut.rMXDST)
321
           2'o2: $writeh("R",dut.rRW,"=RAM(h",dut.regf.xWDAT,")");
322
           2'o1: $writeh("R",dut.rRW,"=LNK(h",dut.regf.xWDAT,")");
323
           2'o0: $writeh("R",dut.rRW,"=ALU(h",dut.regf.xWDAT,")");
324 43 sybreon
         endcase // case (dut.rMXDST)
325 41 sybreon
      end
326
 
327
      // STORE
328
      if (dwb_stb_o & dwb_we_o) $writeh("RAM(",{dwb_adr_o,2'd0},")=",dwb_dat_o,":",dwb_sel_o);
329
 
330 43 sybreon
   end // if (dut.gena)
331 41 sybreon
 
332
 
333
   // INTERNAL WIRING ////////////////////////////////////////////////////
334
 
335
   aeMB_edk32 #(16,16)
336
     dut (
337
          .sys_int_i(sys_int_i),
338
          .dwb_ack_i(dwb_ack_i),
339
          .dwb_stb_o(dwb_stb_o),
340
          .dwb_adr_o(dwb_adr_o),
341
          .dwb_dat_o(dwb_dat_o),
342
          .dwb_dat_i(dwb_dat_i),
343
          .dwb_wre_o(dwb_we_o),
344
          .dwb_sel_o(dwb_sel_o),
345
          .iwb_adr_o(iwb_adr_o),
346
          .iwb_dat_i(iwb_dat_i),
347
          .iwb_stb_o(iwb_stb_o),
348
          .iwb_ack_i(iwb_ack_i),
349
          .sys_clk_i(sys_clk_i),
350
          .sys_rst_i(sys_rst_i)
351
          );
352
 
353
 
354
 
355
 
356
 
357 43 sybreon
endmodule // edk32

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.