OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [sim/] [verilog/] [edk32.v] - Blame information for rev 53

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 53 sybreon
// $Id: edk32.v,v 1.5 2007-11-09 20:51:53 sybreon Exp $
2 41 sybreon
//
3
// AEMB EDK 3.2 Compatible Core TEST
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7
// This library is free software; you can redistribute it and/or
8
// modify it under the terms of the GNU Lesser General Public License
9
// as published by the Free Software Foundation; either version 2.1 of
10
// the License, or (at your option) any later version.
11
//
12
// This library is distributed in the hope that it will be useful, but
13
// WITHOUT ANY WARRANTY; without even the implied warranty of
14
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
15
// Lesser General Public License for more details.
16
//  
17
// You should have received a copy of the GNU Lesser General Public
18
// License along with this library; if not, write to the Free Software
19
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
20
// USA
21
//
22
// $Log: not supported by cvs2svn $
23 53 sybreon
// Revision 1.4  2007/11/08 14:18:00  sybreon
24
// Parameterised optional components.
25
//
26 50 sybreon
// Revision 1.3  2007/11/05 10:59:31  sybreon
27
// Added random seed for simulation.
28
//
29 49 sybreon
// Revision 1.2  2007/11/02 19:16:10  sybreon
30
// Added interrupt simulation.
31
// Changed "human readable" simulation output.
32
//
33 43 sybreon
// Revision 1.1  2007/11/02 03:25:45  sybreon
34
// New EDK 3.2 compatible design with optional barrel-shifter and multiplier.
35
// Fixed various minor data hazard bugs.
36
// Code compatible with -O0/1/2/3/s generated code.
37
//
38 41 sybreon
 
39
module edk32 ();
40 49 sybreon
 
41
`include "random.v"
42
 
43 41 sybreon
   // INITIAL SETUP //////////////////////////////////////////////////////
44
 
45
   reg       sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i;
46
   reg       svc;
47
   integer   inttime;
48 49 sybreon
   integer   seed;
49 41 sybreon
 
50
   always #5 sys_clk_i = ~sys_clk_i;
51
 
52
   initial begin
53 50 sybreon
      $dumpfile("dump.vcd");
54
      $dumpvars(1,dut);
55 41 sybreon
   end
56
 
57
   initial begin
58 49 sybreon
      seed = randseed;
59 41 sybreon
      svc = 0;
60 49 sybreon
      sys_clk_i = $random(seed);
61 41 sybreon
      sys_rst_i = 1;
62
      sys_int_i = 0;
63
      sys_exc_i = 0;
64
      #30 sys_rst_i = 0;
65
   end
66
 
67
   initial fork
68
      //inttime $display("FSADFASDFSDAF");      
69 43 sybreon
      //#10000 sys_int_i = 1;
70 41 sybreon
      //#1100 sys_int_i = 0;
71
      //#100000 $displayh("\nTest Completed."); 
72
      //#4000 $finish;
73
   join
74
 
75
 
76
   // FAKE MEMORY ////////////////////////////////////////////////////////
77 53 sybreon
 
78
   wire [14:2] fsl_adr_o;
79
   wire        fsl_stb_o;
80
   wire        fsl_wre_o;
81
   wire [31:0] fsl_dat_o;
82
   wire [31:0] fsl_dat_i;
83 41 sybreon
 
84
   wire [15:2] iwb_adr_o;
85
   wire        iwb_stb_o;
86
   wire        dwb_stb_o;
87
   reg [31:0]  rom [0:65535];
88
   wire [31:0] iwb_dat_i;
89 53 sybreon
   reg         iwb_ack_i, dwb_ack_i, fsl_ack_i;
90 41 sybreon
 
91
   reg [31:0]  ram[0:65535];
92
   wire [31:0] dwb_dat_i;
93
   reg [31:0]  dwblat;
94
   wire        dwb_we_o;
95
   reg [15:2]  dadr,iadr;
96
   wire [3:0]  dwb_sel_o;
97
   wire [31:0] dwb_dat_o;
98
   wire [15:2] dwb_adr_o;
99
   wire [31:0] dwb_dat_t;
100
 
101
   assign      {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]} = ram[dadr];
102
   assign      {iwb_dat_i[7:0],iwb_dat_i[15:8],iwb_dat_i[23:16],iwb_dat_i[31:24]} = ram[iadr];
103
   assign      {dwb_dat_t} = ram[dwb_adr_o];
104 53 sybreon
 
105
   assign      fsl_dat_i = fsl_adr_o;
106 41 sybreon
 
107
   always @(negedge sys_clk_i) begin
108
      iwb_ack_i <= #1 iwb_stb_o;
109 43 sybreon
      dwb_ack_i <= #1 dwb_stb_o;
110 53 sybreon
      fsl_ack_i <= #1 fsl_stb_o;
111
 
112 41 sybreon
      iadr <= #1 iwb_adr_o;
113
      dadr <= dwb_adr_o;
114
 
115
      if (dwb_we_o & dwb_stb_o) begin
116
         case (dwb_sel_o)
117
           4'h1: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_t[23:0]};
118
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:24],dwb_dat_o[15:8],dwb_dat_t[15:0]};
119
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_t[7:0]};
120
           4'h8: ram[dwb_adr_o] <= {dwb_dat_t[31:8],dwb_dat_o[31:24]};
121
           4'h3: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_t[15:0]};
122
           4'hC: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_o[31:24]};
123
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_o[23:16],dwb_dat_o[31:24]};
124 43 sybreon
         endcase // case (dwb_sel_o)
125
      end // if (dwb_we_o & dwb_stb_o)
126
   end // always @ (negedge sys_clk_i)
127 41 sybreon
 
128
   integer i;
129
   initial begin
130
      for (i=0;i<65535;i=i+1) begin
131
         ram[i] <= $random;
132 43 sybreon
      end
133 41 sybreon
      #1 $readmemh("aeMB.rom",ram);
134
   end
135
 
136
   // DISPLAY OUTPUTS ///////////////////////////////////////////////////
137
 
138
   //assign dut.rRESULT = dut.rSIMM;   
139 43 sybreon
 
140
   integer rnd;
141 41 sybreon
   always @(posedge sys_clk_i) begin
142 43 sybreon
 
143
      // Interrupt Monitors
144
      if (!dut.rMSR_IE) begin
145
         rnd = $random % 30;
146
         inttime = $stime + 1000 + (rnd*rnd * 10);
147
      end
148
      if ($stime > inttime) begin
149
         sys_int_i = 1;
150
         svc = 0;
151
      end
152
      if (($stime > inttime + 500) && !svc) begin
153
         $display("\n\t*** INTERRUPT TIMEOUT ***", inttime);
154
         $finish;
155
      end
156
      if (dwb_we_o & (dwb_dat_o == "RTNI")) sys_int_i = 0;
157
      if (|dut.rXCE) svc = 1;
158 41 sybreon
 
159
      // Pass/Fail Monitors
160
      if (dwb_we_o & (dwb_dat_o == "FAIL")) begin
161
         $display("\n\tFAIL");
162
         $finish;
163 43 sybreon
      end
164 41 sybreon
      if (iwb_dat_i == 32'hb8000000) begin
165
         $display("\n\t*** PASSED ALL TESTS ***");
166
         $finish;
167
      end
168
   end // always @ (posedge sys_clk_i)
169
 
170
 
171
   always @(posedge sys_clk_i) if (dut.gena) begin
172
      $write ("\n", ($stime/10));
173 43 sybreon
      $writeh ("\tPC=", {iwb_adr_o,2'd0});
174 41 sybreon
 
175
      // DECODE
176
      $writeh ("\t");
177 43 sybreon
 
178
      case (dut.bpcu.rATOM)
179
        2'o2, 2'o1: $write("/");
180
        2'o0, 2'o3: $write("\\");
181
      endcase // case (dut.bpcu.rATOM)
182 41 sybreon
 
183 43 sybreon
 
184 41 sybreon
      case ({dut.rBRA, dut.rDLY})
185
        2'b00: $write(" ");
186
        2'b01: $write(".");
187
        2'b10: $write("-");
188
        2'b11: $write("+");
189
      endcase // case ({dut.rBRA, dut.rDLY})
190
 
191
      case (dut.rOPC)
192
        6'o00: if (dut.rRD == 0) $write("   "); else $write("ADD");
193
        6'o01: $write("RSUB");
194
        6'o02: $write("ADDC");
195
        6'o03: $write("RSUBC");
196
        6'o04: $write("ADDK");
197
        6'o05: case (dut.rIMM[1:0])
198
                 2'o0: $write("RSUBK");
199
                 2'o1: $write("CMP");
200
                 2'o3: $write("CMPU");
201
                 default: $write("XXX");
202 43 sybreon
               endcase // case (dut.rIMM[1:0])
203 41 sybreon
        6'o06: $write("ADDKC");
204
        6'o07: $write("RSUBKC");
205
 
206
        6'o10: $write("ADDI");
207
        6'o11: $write("RSUBI");
208
        6'o12: $write("ADDIC");
209
        6'o13: $write("RSUBIC");
210
        6'o14: $write("ADDIK");
211
        6'o15: $write("RSUBIK");
212
        6'o16: $write("ADDIKC");
213
        6'o17: $write("RSUBIKC");
214
 
215
        6'o20: $write("MUL");
216
        6'o21: case (dut.rALT[10:9])
217
                 2'o0: $write("BSRL");
218
                 2'o1: $write("BSRA");
219
                 2'o2: $write("BSLL");
220
                 default: $write("XXX");
221 43 sybreon
               endcase // case (dut.rALT[10:9])
222 41 sybreon
        6'o22: $write("IDIV");
223
 
224
        6'o30: $write("MULI");
225
        6'o31: case (dut.rALT[10:9])
226
                 2'o0: $write("BSRLI");
227
                 2'o1: $write("BSRAI");
228
                 2'o2: $write("BSLLI");
229
                 default: $write("XXX");
230 43 sybreon
               endcase // case (dut.rALT[10:9])
231 53 sybreon
        6'o33: case (dut.rRB[4:2])
232
                 3'o0: $write("GET");
233
                 3'o4: $write("PUT");
234
                 3'o2: $write("NGET");
235
                 3'o6: $write("NPUT");
236
                 3'o1: $write("CGET");
237
                 3'o5: $write("CPUT");
238
                 3'o3: $write("NCGET");
239
                 3'o7: $write("NCPUT");
240
               endcase // case (dut.rRB[4:2])
241
 
242 41 sybreon
 
243
        6'o40: $write("OR");
244
        6'o41: $write("AND");
245
        6'o42: if (dut.rRD == 0) $write("   "); else $write("XOR");
246
        6'o43: $write("ANDN");
247 43 sybreon
        6'o44: case (dut.rIMM[6:5])
248
                 2'o0: $write("SRA");
249
                 2'o1: $write("SRC");
250
                 2'o2: $write("SRL");
251
                 2'o3: if (dut.rIMM[0]) $write("SEXT16"); else $write("SEXT8");
252
               endcase // case (dut.rIMM[6:5])
253
 
254 41 sybreon
        6'o45: $write("MOV");
255 43 sybreon
        6'o46: case (dut.rRA[3:2])
256
                 3'o0: $write("BR");
257
                 3'o1: $write("BRL");
258
                 3'o2: $write("BRA");
259
                 3'o3: $write("BRAL");
260
               endcase // case (dut.rRA[3:2])
261
 
262 41 sybreon
        6'o47: case (dut.rRD[2:0])
263
                 3'o0: $write("BEQ");
264
                 3'o1: $write("BNE");
265
                 3'o2: $write("BLT");
266
                 3'o3: $write("BLE");
267
                 3'o4: $write("BGT");
268
                 3'o5: $write("BGE");
269
                 default: $write("XXX");
270
               endcase // case (dut.rRD[2:0])
271
 
272
        6'o50: $write("ORI");
273
        6'o51: $write("ANDI");
274
        6'o52: $write("XORI");
275
        6'o53: $write("ANDNI");
276
        6'o54: $write("IMMI");
277 43 sybreon
        6'o55: case (dut.rRD[1:0])
278
                 2'o0: $write("RTSD");
279
                 2'o1: $write("RTID");
280
                 2'o2: $write("RTBD");
281
                 default: $write("XXX");
282
               endcase
283
        6'o56: case (dut.rRA[3:2])
284
                 3'o0: $write("BRI");
285
                 3'o1: $write("BRLI");
286
                 3'o2: $write("BRAI");
287
                 3'o3: $write("BRALI");
288
               endcase // case (dut.rRA[3:2])
289 41 sybreon
        6'o57: case (dut.rRD[2:0])
290
                 3'o0: $write("BEQI");
291
                 3'o1: $write("BNEI");
292
                 3'o2: $write("BLTI");
293
                 3'o3: $write("BLEI");
294
                 3'o4: $write("BGTI");
295
                 3'o5: $write("BGEI");
296
                 default: $write("XXX");
297
               endcase // case (dut.rRD[2:0])
298
 
299
        6'o60: $write("LBU");
300
        6'o61: $write("LHU");
301
        6'o62: $write("LW");
302
        6'o64: $write("SB");
303
        6'o65: $write("SH");
304
        6'o66: $write("SW");
305
 
306
        6'o70: $write("LBUI");
307
        6'o71: $write("LHUI");
308
        6'o72: $write("LWI");
309
        6'o74: $write("SBI");
310
        6'o75: $write("SHI");
311
        6'o76: $write("SWI");
312
 
313
        default: $write("XXX");
314 43 sybreon
      endcase // case (dut.rOPC)
315 41 sybreon
 
316
      case (dut.rOPC[3])
317
        1'b1: $writeh("\tr",dut.rRD,", r",dut.rRA,", h",dut.rIMM);
318
        1'b0: $writeh("\tr",dut.rRD,", r",dut.rRA,", r",dut.rRB,"  ");
319 43 sybreon
      endcase // case (dut.rOPC[3])
320 41 sybreon
 
321
 
322
      // ALU
323
      $write("\t");
324 43 sybreon
      //$writeh(" I=",dut.rSIMM);
325 50 sybreon
      $writeh(" A=",dut.xecu.rOPA);
326
      $writeh(" B=",dut.xecu.rOPB);
327 41 sybreon
 
328
      case (dut.rMXALU)
329
        3'o0: $write(" ADD");
330
        3'o1: $write(" LOG");
331
        3'o2: $write(" SFT");
332
        3'o3: $write(" MOV");
333
        3'o4: $write(" MUL");
334
        3'o5: $write(" BSF");
335
        default: $write(" XXX");
336 43 sybreon
      endcase // case (dut.rMXALU)
337 41 sybreon
      $writeh("=h",dut.xecu.xRESULT);
338
 
339
      // WRITEBACK
340 43 sybreon
      $writeh("\tSR=", {dut.xecu.rMSR_BIP, dut.xecu.rMSR_C, dut.xecu.rMSR_IE, dut.xecu.rMSR_BE}," ");
341 41 sybreon
 
342
      if (dut.regf.fRDWE) begin
343
         case (dut.rMXDST)
344 53 sybreon
           2'o2: begin
345
              if (dut.dwb_stb_o) $writeh("R",dut.rRW,"=RAM(h",dut.regf.xWDAT,")");
346
              if (dut.fsl_stb_o) $writeh("R",dut.rRW,"=FSL(h",dut.regf.xWDAT,")");
347
           end
348 41 sybreon
           2'o1: $writeh("R",dut.rRW,"=LNK(h",dut.regf.xWDAT,")");
349
           2'o0: $writeh("R",dut.rRW,"=ALU(h",dut.regf.xWDAT,")");
350 43 sybreon
         endcase // case (dut.rMXDST)
351 41 sybreon
      end
352
 
353
      // STORE
354
      if (dwb_stb_o & dwb_we_o) $writeh("RAM(",{dwb_adr_o,2'd0},")=",dwb_dat_o,":",dwb_sel_o);
355
 
356 43 sybreon
   end // if (dut.gena)
357 41 sybreon
 
358
 
359
   // INTERNAL WIRING ////////////////////////////////////////////////////
360
 
361
   aeMB_edk32 #(16,16)
362
     dut (
363
          .sys_int_i(sys_int_i),
364
          .dwb_ack_i(dwb_ack_i),
365
          .dwb_stb_o(dwb_stb_o),
366
          .dwb_adr_o(dwb_adr_o),
367
          .dwb_dat_o(dwb_dat_o),
368
          .dwb_dat_i(dwb_dat_i),
369
          .dwb_wre_o(dwb_we_o),
370
          .dwb_sel_o(dwb_sel_o),
371 53 sybreon
 
372
          .fsl_ack_i(fsl_ack_i),
373
          .fsl_stb_o(fsl_stb_o),
374
          .fsl_adr_o(fsl_adr_o),
375
          .fsl_dat_o(fsl_dat_o),
376
          .fsl_dat_i(fsl_dat_i),
377
          .fsl_wre_o(fsl_we_o),
378
 
379 41 sybreon
          .iwb_adr_o(iwb_adr_o),
380
          .iwb_dat_i(iwb_dat_i),
381
          .iwb_stb_o(iwb_stb_o),
382
          .iwb_ack_i(iwb_ack_i),
383
          .sys_clk_i(sys_clk_i),
384
          .sys_rst_i(sys_rst_i)
385
          );
386
 
387
 
388
 
389
 
390
 
391 43 sybreon
endmodule // edk32

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.