OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [sim/] [verilog/] [edk32.v] - Blame information for rev 58

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 58 sybreon
// $Id: edk32.v,v 1.6 2007-11-13 23:37:28 sybreon Exp $
2 41 sybreon
//
3
// AEMB EDK 3.2 Compatible Core TEST
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7
// This library is free software; you can redistribute it and/or
8
// modify it under the terms of the GNU Lesser General Public License
9
// as published by the Free Software Foundation; either version 2.1 of
10
// the License, or (at your option) any later version.
11
//
12
// This library is distributed in the hope that it will be useful, but
13
// WITHOUT ANY WARRANTY; without even the implied warranty of
14
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
15
// Lesser General Public License for more details.
16
//  
17
// You should have received a copy of the GNU Lesser General Public
18
// License along with this library; if not, write to the Free Software
19
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
20
// USA
21
//
22
// $Log: not supported by cvs2svn $
23 58 sybreon
// Revision 1.5  2007/11/09 20:51:53  sybreon
24
// Added GET/PUT support through a FSL bus.
25
//
26 53 sybreon
// Revision 1.4  2007/11/08 14:18:00  sybreon
27
// Parameterised optional components.
28
//
29 50 sybreon
// Revision 1.3  2007/11/05 10:59:31  sybreon
30
// Added random seed for simulation.
31
//
32 49 sybreon
// Revision 1.2  2007/11/02 19:16:10  sybreon
33
// Added interrupt simulation.
34
// Changed "human readable" simulation output.
35
//
36 43 sybreon
// Revision 1.1  2007/11/02 03:25:45  sybreon
37
// New EDK 3.2 compatible design with optional barrel-shifter and multiplier.
38
// Fixed various minor data hazard bugs.
39
// Code compatible with -O0/1/2/3/s generated code.
40
//
41 41 sybreon
 
42
module edk32 ();
43 49 sybreon
 
44
`include "random.v"
45
 
46 41 sybreon
   // INITIAL SETUP //////////////////////////////////////////////////////
47
 
48
   reg       sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i;
49
   reg       svc;
50
   integer   inttime;
51 49 sybreon
   integer   seed;
52 58 sybreon
   integer   theend;
53 41 sybreon
 
54
   always #5 sys_clk_i = ~sys_clk_i;
55
 
56
   initial begin
57 58 sybreon
      //$dumpfile("dump.vcd");
58
      //$dumpvars(1,dut);
59 41 sybreon
   end
60
 
61
   initial begin
62 58 sybreon
      seed = randseed;
63
      theend = 0;
64 41 sybreon
      svc = 0;
65 49 sybreon
      sys_clk_i = $random(seed);
66 41 sybreon
      sys_rst_i = 1;
67
      sys_int_i = 0;
68
      sys_exc_i = 0;
69
      #30 sys_rst_i = 0;
70
   end
71
 
72
   initial fork
73
      //inttime $display("FSADFASDFSDAF");      
74 43 sybreon
      //#10000 sys_int_i = 1;
75 41 sybreon
      //#1100 sys_int_i = 0;
76
      //#100000 $displayh("\nTest Completed."); 
77
      //#4000 $finish;
78
   join
79
 
80
 
81
   // FAKE MEMORY ////////////////////////////////////////////////////////
82 53 sybreon
 
83
   wire [14:2] fsl_adr_o;
84
   wire        fsl_stb_o;
85
   wire        fsl_wre_o;
86
   wire [31:0] fsl_dat_o;
87
   wire [31:0] fsl_dat_i;
88 41 sybreon
 
89
   wire [15:2] iwb_adr_o;
90
   wire        iwb_stb_o;
91
   wire        dwb_stb_o;
92
   reg [31:0]  rom [0:65535];
93
   wire [31:0] iwb_dat_i;
94 53 sybreon
   reg         iwb_ack_i, dwb_ack_i, fsl_ack_i;
95 41 sybreon
 
96
   reg [31:0]  ram[0:65535];
97
   wire [31:0] dwb_dat_i;
98
   reg [31:0]  dwblat;
99
   wire        dwb_we_o;
100
   reg [15:2]  dadr,iadr;
101
   wire [3:0]  dwb_sel_o;
102
   wire [31:0] dwb_dat_o;
103
   wire [15:2] dwb_adr_o;
104
   wire [31:0] dwb_dat_t;
105
 
106
   assign      {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]} = ram[dadr];
107
   assign      {iwb_dat_i[7:0],iwb_dat_i[15:8],iwb_dat_i[23:16],iwb_dat_i[31:24]} = ram[iadr];
108
   assign      {dwb_dat_t} = ram[dwb_adr_o];
109 53 sybreon
 
110
   assign      fsl_dat_i = fsl_adr_o;
111 41 sybreon
 
112
   always @(negedge sys_clk_i) begin
113
      iwb_ack_i <= #1 iwb_stb_o;
114 43 sybreon
      dwb_ack_i <= #1 dwb_stb_o;
115 53 sybreon
      fsl_ack_i <= #1 fsl_stb_o;
116
 
117 41 sybreon
      iadr <= #1 iwb_adr_o;
118
      dadr <= dwb_adr_o;
119
 
120
      if (dwb_we_o & dwb_stb_o) begin
121
         case (dwb_sel_o)
122
           4'h1: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_t[23:0]};
123
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:24],dwb_dat_o[15:8],dwb_dat_t[15:0]};
124
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_t[7:0]};
125
           4'h8: ram[dwb_adr_o] <= {dwb_dat_t[31:8],dwb_dat_o[31:24]};
126
           4'h3: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_t[15:0]};
127
           4'hC: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_o[31:24]};
128
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_o[23:16],dwb_dat_o[31:24]};
129 43 sybreon
         endcase // case (dwb_sel_o)
130
      end // if (dwb_we_o & dwb_stb_o)
131
   end // always @ (negedge sys_clk_i)
132 41 sybreon
 
133
   integer i;
134
   initial begin
135
      for (i=0;i<65535;i=i+1) begin
136
         ram[i] <= $random;
137 43 sybreon
      end
138 41 sybreon
      #1 $readmemh("aeMB.rom",ram);
139
   end
140
 
141
   // DISPLAY OUTPUTS ///////////////////////////////////////////////////
142
 
143
   //assign dut.rRESULT = dut.rSIMM;   
144 43 sybreon
 
145 58 sybreon
   integer rnd;
146
 
147 41 sybreon
   always @(posedge sys_clk_i) begin
148 43 sybreon
 
149
      // Interrupt Monitors
150
      if (!dut.rMSR_IE) begin
151
         rnd = $random % 30;
152
         inttime = $stime + 1000 + (rnd*rnd * 10);
153
      end
154
      if ($stime > inttime) begin
155
         sys_int_i = 1;
156
         svc = 0;
157
      end
158
      if (($stime > inttime + 500) && !svc) begin
159
         $display("\n\t*** INTERRUPT TIMEOUT ***", inttime);
160
         $finish;
161
      end
162
      if (dwb_we_o & (dwb_dat_o == "RTNI")) sys_int_i = 0;
163
      if (|dut.rXCE) svc = 1;
164 41 sybreon
 
165
      // Pass/Fail Monitors
166
      if (dwb_we_o & (dwb_dat_o == "FAIL")) begin
167
         $display("\n\tFAIL");
168
         $finish;
169 43 sybreon
      end
170 58 sybreon
 
171 41 sybreon
      if (iwb_dat_i == 32'hb8000000) begin
172 58 sybreon
         theend = theend + 1;
173
      end
174
 
175
      if (theend == 5) begin
176 41 sybreon
         $display("\n\t*** PASSED ALL TESTS ***");
177
         $finish;
178
      end
179
   end // always @ (posedge sys_clk_i)
180
 
181
 
182
   always @(posedge sys_clk_i) if (dut.gena) begin
183
      $write ("\n", ($stime/10));
184 43 sybreon
      $writeh ("\tPC=", {iwb_adr_o,2'd0});
185 41 sybreon
 
186
      // DECODE
187
      $writeh ("\t");
188 43 sybreon
 
189
      case (dut.bpcu.rATOM)
190
        2'o2, 2'o1: $write("/");
191
        2'o0, 2'o3: $write("\\");
192
      endcase // case (dut.bpcu.rATOM)
193 41 sybreon
 
194 43 sybreon
 
195 41 sybreon
      case ({dut.rBRA, dut.rDLY})
196
        2'b00: $write(" ");
197
        2'b01: $write(".");
198
        2'b10: $write("-");
199
        2'b11: $write("+");
200
      endcase // case ({dut.rBRA, dut.rDLY})
201
 
202
      case (dut.rOPC)
203
        6'o00: if (dut.rRD == 0) $write("   "); else $write("ADD");
204
        6'o01: $write("RSUB");
205
        6'o02: $write("ADDC");
206
        6'o03: $write("RSUBC");
207
        6'o04: $write("ADDK");
208
        6'o05: case (dut.rIMM[1:0])
209
                 2'o0: $write("RSUBK");
210
                 2'o1: $write("CMP");
211
                 2'o3: $write("CMPU");
212
                 default: $write("XXX");
213 43 sybreon
               endcase // case (dut.rIMM[1:0])
214 41 sybreon
        6'o06: $write("ADDKC");
215
        6'o07: $write("RSUBKC");
216
 
217
        6'o10: $write("ADDI");
218
        6'o11: $write("RSUBI");
219
        6'o12: $write("ADDIC");
220
        6'o13: $write("RSUBIC");
221
        6'o14: $write("ADDIK");
222
        6'o15: $write("RSUBIK");
223
        6'o16: $write("ADDIKC");
224
        6'o17: $write("RSUBIKC");
225
 
226
        6'o20: $write("MUL");
227
        6'o21: case (dut.rALT[10:9])
228
                 2'o0: $write("BSRL");
229
                 2'o1: $write("BSRA");
230
                 2'o2: $write("BSLL");
231
                 default: $write("XXX");
232 43 sybreon
               endcase // case (dut.rALT[10:9])
233 41 sybreon
        6'o22: $write("IDIV");
234
 
235
        6'o30: $write("MULI");
236
        6'o31: case (dut.rALT[10:9])
237
                 2'o0: $write("BSRLI");
238
                 2'o1: $write("BSRAI");
239
                 2'o2: $write("BSLLI");
240
                 default: $write("XXX");
241 43 sybreon
               endcase // case (dut.rALT[10:9])
242 53 sybreon
        6'o33: case (dut.rRB[4:2])
243
                 3'o0: $write("GET");
244
                 3'o4: $write("PUT");
245
                 3'o2: $write("NGET");
246
                 3'o6: $write("NPUT");
247
                 3'o1: $write("CGET");
248
                 3'o5: $write("CPUT");
249
                 3'o3: $write("NCGET");
250
                 3'o7: $write("NCPUT");
251
               endcase // case (dut.rRB[4:2])
252
 
253 41 sybreon
 
254
        6'o40: $write("OR");
255
        6'o41: $write("AND");
256
        6'o42: if (dut.rRD == 0) $write("   "); else $write("XOR");
257
        6'o43: $write("ANDN");
258 43 sybreon
        6'o44: case (dut.rIMM[6:5])
259
                 2'o0: $write("SRA");
260
                 2'o1: $write("SRC");
261
                 2'o2: $write("SRL");
262
                 2'o3: if (dut.rIMM[0]) $write("SEXT16"); else $write("SEXT8");
263
               endcase // case (dut.rIMM[6:5])
264
 
265 41 sybreon
        6'o45: $write("MOV");
266 43 sybreon
        6'o46: case (dut.rRA[3:2])
267
                 3'o0: $write("BR");
268
                 3'o1: $write("BRL");
269
                 3'o2: $write("BRA");
270
                 3'o3: $write("BRAL");
271
               endcase // case (dut.rRA[3:2])
272
 
273 41 sybreon
        6'o47: case (dut.rRD[2:0])
274
                 3'o0: $write("BEQ");
275
                 3'o1: $write("BNE");
276
                 3'o2: $write("BLT");
277
                 3'o3: $write("BLE");
278
                 3'o4: $write("BGT");
279
                 3'o5: $write("BGE");
280
                 default: $write("XXX");
281
               endcase // case (dut.rRD[2:0])
282
 
283
        6'o50: $write("ORI");
284
        6'o51: $write("ANDI");
285
        6'o52: $write("XORI");
286
        6'o53: $write("ANDNI");
287
        6'o54: $write("IMMI");
288 43 sybreon
        6'o55: case (dut.rRD[1:0])
289
                 2'o0: $write("RTSD");
290
                 2'o1: $write("RTID");
291
                 2'o2: $write("RTBD");
292
                 default: $write("XXX");
293
               endcase
294
        6'o56: case (dut.rRA[3:2])
295
                 3'o0: $write("BRI");
296
                 3'o1: $write("BRLI");
297
                 3'o2: $write("BRAI");
298
                 3'o3: $write("BRALI");
299
               endcase // case (dut.rRA[3:2])
300 41 sybreon
        6'o57: case (dut.rRD[2:0])
301
                 3'o0: $write("BEQI");
302
                 3'o1: $write("BNEI");
303
                 3'o2: $write("BLTI");
304
                 3'o3: $write("BLEI");
305
                 3'o4: $write("BGTI");
306
                 3'o5: $write("BGEI");
307
                 default: $write("XXX");
308
               endcase // case (dut.rRD[2:0])
309
 
310
        6'o60: $write("LBU");
311
        6'o61: $write("LHU");
312
        6'o62: $write("LW");
313
        6'o64: $write("SB");
314
        6'o65: $write("SH");
315
        6'o66: $write("SW");
316
 
317
        6'o70: $write("LBUI");
318
        6'o71: $write("LHUI");
319
        6'o72: $write("LWI");
320
        6'o74: $write("SBI");
321
        6'o75: $write("SHI");
322
        6'o76: $write("SWI");
323
 
324
        default: $write("XXX");
325 43 sybreon
      endcase // case (dut.rOPC)
326 41 sybreon
 
327
      case (dut.rOPC[3])
328
        1'b1: $writeh("\tr",dut.rRD,", r",dut.rRA,", h",dut.rIMM);
329
        1'b0: $writeh("\tr",dut.rRD,", r",dut.rRA,", r",dut.rRB,"  ");
330 43 sybreon
      endcase // case (dut.rOPC[3])
331 41 sybreon
 
332
 
333
      // ALU
334
      $write("\t");
335 43 sybreon
      //$writeh(" I=",dut.rSIMM);
336 50 sybreon
      $writeh(" A=",dut.xecu.rOPA);
337
      $writeh(" B=",dut.xecu.rOPB);
338 41 sybreon
 
339
      case (dut.rMXALU)
340
        3'o0: $write(" ADD");
341
        3'o1: $write(" LOG");
342
        3'o2: $write(" SFT");
343
        3'o3: $write(" MOV");
344
        3'o4: $write(" MUL");
345
        3'o5: $write(" BSF");
346
        default: $write(" XXX");
347 43 sybreon
      endcase // case (dut.rMXALU)
348 41 sybreon
      $writeh("=h",dut.xecu.xRESULT);
349
 
350
      // WRITEBACK
351 43 sybreon
      $writeh("\tSR=", {dut.xecu.rMSR_BIP, dut.xecu.rMSR_C, dut.xecu.rMSR_IE, dut.xecu.rMSR_BE}," ");
352 41 sybreon
 
353
      if (dut.regf.fRDWE) begin
354
         case (dut.rMXDST)
355 53 sybreon
           2'o2: begin
356
              if (dut.dwb_stb_o) $writeh("R",dut.rRW,"=RAM(h",dut.regf.xWDAT,")");
357
              if (dut.fsl_stb_o) $writeh("R",dut.rRW,"=FSL(h",dut.regf.xWDAT,")");
358
           end
359 41 sybreon
           2'o1: $writeh("R",dut.rRW,"=LNK(h",dut.regf.xWDAT,")");
360
           2'o0: $writeh("R",dut.rRW,"=ALU(h",dut.regf.xWDAT,")");
361 43 sybreon
         endcase // case (dut.rMXDST)
362 41 sybreon
      end
363
 
364
      // STORE
365
      if (dwb_stb_o & dwb_we_o) $writeh("RAM(",{dwb_adr_o,2'd0},")=",dwb_dat_o,":",dwb_sel_o);
366
 
367 43 sybreon
   end // if (dut.gena)
368 41 sybreon
 
369
 
370
   // INTERNAL WIRING ////////////////////////////////////////////////////
371
 
372
   aeMB_edk32 #(16,16)
373
     dut (
374
          .sys_int_i(sys_int_i),
375
          .dwb_ack_i(dwb_ack_i),
376
          .dwb_stb_o(dwb_stb_o),
377
          .dwb_adr_o(dwb_adr_o),
378
          .dwb_dat_o(dwb_dat_o),
379
          .dwb_dat_i(dwb_dat_i),
380
          .dwb_wre_o(dwb_we_o),
381
          .dwb_sel_o(dwb_sel_o),
382 53 sybreon
 
383
          .fsl_ack_i(fsl_ack_i),
384
          .fsl_stb_o(fsl_stb_o),
385
          .fsl_adr_o(fsl_adr_o),
386
          .fsl_dat_o(fsl_dat_o),
387
          .fsl_dat_i(fsl_dat_i),
388
          .fsl_wre_o(fsl_we_o),
389
 
390 41 sybreon
          .iwb_adr_o(iwb_adr_o),
391
          .iwb_dat_i(iwb_dat_i),
392
          .iwb_stb_o(iwb_stb_o),
393
          .iwb_ack_i(iwb_ack_i),
394
          .sys_clk_i(sys_clk_i),
395
          .sys_rst_i(sys_rst_i)
396
          );
397
 
398
 
399
 
400
 
401
 
402 43 sybreon
endmodule // edk32

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.