OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [sim/] [verilog/] [edk32.v] - Blame information for rev 59

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 59 sybreon
// $Id: edk32.v,v 1.7 2007-11-14 22:11:41 sybreon Exp $
2 41 sybreon
//
3
// AEMB EDK 3.2 Compatible Core TEST
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7 59 sybreon
// This file is part of AEMB.
8 41 sybreon
//
9 59 sybreon
// AEMB is free software: you can redistribute it and/or modify it
10
// under the terms of the GNU Lesser General Public License as
11
// published by the Free Software Foundation, either version 3 of the
12
// License, or (at your option) any later version.
13
//
14
// AEMB is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
16
// or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
17
// Public License for more details.
18
//
19 41 sybreon
// You should have received a copy of the GNU Lesser General Public
20 59 sybreon
// License along with AEMB. If not, see <http://www.gnu.org/licenses/>.
21 41 sybreon
//
22
// $Log: not supported by cvs2svn $
23 59 sybreon
// Revision 1.6  2007/11/13 23:37:28  sybreon
24
// Updated simulation to also check BRI 0x00 instruction.
25
//
26 58 sybreon
// Revision 1.5  2007/11/09 20:51:53  sybreon
27
// Added GET/PUT support through a FSL bus.
28
//
29 53 sybreon
// Revision 1.4  2007/11/08 14:18:00  sybreon
30
// Parameterised optional components.
31
//
32 50 sybreon
// Revision 1.3  2007/11/05 10:59:31  sybreon
33
// Added random seed for simulation.
34
//
35 49 sybreon
// Revision 1.2  2007/11/02 19:16:10  sybreon
36
// Added interrupt simulation.
37
// Changed "human readable" simulation output.
38
//
39 43 sybreon
// Revision 1.1  2007/11/02 03:25:45  sybreon
40
// New EDK 3.2 compatible design with optional barrel-shifter and multiplier.
41
// Fixed various minor data hazard bugs.
42
// Code compatible with -O0/1/2/3/s generated code.
43
//
44 41 sybreon
 
45
module edk32 ();
46 49 sybreon
 
47
`include "random.v"
48
 
49 41 sybreon
   // INITIAL SETUP //////////////////////////////////////////////////////
50
 
51
   reg       sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i;
52
   reg       svc;
53
   integer   inttime;
54 49 sybreon
   integer   seed;
55 58 sybreon
   integer   theend;
56 41 sybreon
 
57
   always #5 sys_clk_i = ~sys_clk_i;
58
 
59
   initial begin
60 58 sybreon
      //$dumpfile("dump.vcd");
61
      //$dumpvars(1,dut);
62 59 sybreon
      //$dumpvars(1,dut.scon);      
63 41 sybreon
   end
64
 
65
   initial begin
66 58 sybreon
      seed = randseed;
67
      theend = 0;
68 41 sybreon
      svc = 0;
69 49 sybreon
      sys_clk_i = $random(seed);
70 41 sybreon
      sys_rst_i = 1;
71
      sys_int_i = 0;
72
      sys_exc_i = 0;
73 59 sybreon
      #50 sys_rst_i = 0;
74 41 sybreon
   end
75
 
76
   initial fork
77
      //inttime $display("FSADFASDFSDAF");      
78 43 sybreon
      //#10000 sys_int_i = 1;
79 41 sybreon
      //#1100 sys_int_i = 0;
80
      //#100000 $displayh("\nTest Completed."); 
81
      //#4000 $finish;
82
   join
83
 
84
 
85
   // FAKE MEMORY ////////////////////////////////////////////////////////
86 53 sybreon
 
87
   wire [14:2] fsl_adr_o;
88
   wire        fsl_stb_o;
89
   wire        fsl_wre_o;
90
   wire [31:0] fsl_dat_o;
91
   wire [31:0] fsl_dat_i;
92 41 sybreon
 
93
   wire [15:2] iwb_adr_o;
94
   wire        iwb_stb_o;
95
   wire        dwb_stb_o;
96
   reg [31:0]  rom [0:65535];
97
   wire [31:0] iwb_dat_i;
98 53 sybreon
   reg         iwb_ack_i, dwb_ack_i, fsl_ack_i;
99 41 sybreon
 
100
   reg [31:0]  ram[0:65535];
101
   wire [31:0] dwb_dat_i;
102
   reg [31:0]  dwblat;
103
   wire        dwb_we_o;
104
   reg [15:2]  dadr,iadr;
105
   wire [3:0]  dwb_sel_o;
106
   wire [31:0] dwb_dat_o;
107
   wire [15:2] dwb_adr_o;
108 59 sybreon
   wire [31:0] dwb_dat_t;
109
 
110
   initial begin
111
      dwb_ack_i = 0;
112
      iwb_ack_i = 0;
113
      fsl_ack_i = 0;
114
   end
115 41 sybreon
 
116
   assign      {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]} = ram[dadr];
117
   assign      {iwb_dat_i[7:0],iwb_dat_i[15:8],iwb_dat_i[23:16],iwb_dat_i[31:24]} = ram[iadr];
118
   assign      {dwb_dat_t} = ram[dwb_adr_o];
119 53 sybreon
 
120
   assign      fsl_dat_i = fsl_adr_o;
121 59 sybreon
 
122
//`define POSEDGE
123
`ifdef POSEDGE
124 41 sybreon
 
125 59 sybreon
   always @(posedge sys_clk_i)
126
     if (sys_rst_i) begin
127
        /*AUTORESET*/
128
        // Beginning of autoreset for uninitialized flops
129
        dwb_ack_i <= 1'h0;
130
        fsl_ack_i <= 1'h0;
131
        iwb_ack_i <= 1'h0;
132
        // End of automatics
133
     end else begin
134
        iwb_ack_i <= #1 iwb_stb_o ^ iwb_ack_i;
135
        dwb_ack_i <= #1 dwb_stb_o ^ dwb_ack_i;
136
        fsl_ack_i <= #1 fsl_stb_o ^ fsl_ack_i;
137
     end
138
 
139
   always @(posedge sys_clk_i) begin
140
      iadr <= #1 iwb_adr_o;
141
      dadr <= #1 dwb_adr_o;
142
 
143
      if (dwb_we_o & dwb_stb_o) begin
144
         case (dwb_sel_o)
145
           4'h1: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_t[23:0]};
146
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:24],dwb_dat_o[15:8],dwb_dat_t[15:0]};
147
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_t[7:0]};
148
           4'h8: ram[dwb_adr_o] <= {dwb_dat_t[31:8],dwb_dat_o[31:24]};
149
           4'h3: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_t[15:0]};
150
           4'hC: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_o[31:24]};
151
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_o[23:16],dwb_dat_o[31:24]};
152
         endcase // case (dwb_sel_o)
153
      end // if (dwb_we_o & dwb_stb_o)
154
   end // always @ (negedge sys_clk_i)
155
 
156
`else // !`ifdef POSEDGE
157
 
158
   always @(negedge sys_clk_i)
159
     if (sys_rst_i) begin
160
        /*AUTORESET*/
161
        // Beginning of autoreset for uninitialized flops
162
        dwb_ack_i <= 1'h0;
163
        fsl_ack_i <= 1'h0;
164
        iwb_ack_i <= 1'h0;
165
        // End of automatics
166
     end else begin
167
        iwb_ack_i <= #1 iwb_stb_o;
168
        dwb_ack_i <= #1 dwb_stb_o;
169
        fsl_ack_i <= #1 fsl_stb_o;
170
     end
171
 
172 41 sybreon
   always @(negedge sys_clk_i) begin
173 59 sybreon
      iadr <= #1 iwb_adr_o;
174
      dadr <= #1 dwb_adr_o;
175 53 sybreon
 
176 41 sybreon
      if (dwb_we_o & dwb_stb_o) begin
177
         case (dwb_sel_o)
178
           4'h1: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_t[23:0]};
179
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:24],dwb_dat_o[15:8],dwb_dat_t[15:0]};
180
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_t[7:0]};
181
           4'h8: ram[dwb_adr_o] <= {dwb_dat_t[31:8],dwb_dat_o[31:24]};
182
           4'h3: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_t[15:0]};
183
           4'hC: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_o[31:24]};
184
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_o[23:16],dwb_dat_o[31:24]};
185 43 sybreon
         endcase // case (dwb_sel_o)
186
      end // if (dwb_we_o & dwb_stb_o)
187
   end // always @ (negedge sys_clk_i)
188 59 sybreon
 
189
`endif // !`ifdef POSEDGE
190
 
191 41 sybreon
 
192
   integer i;
193
   initial begin
194
      for (i=0;i<65535;i=i+1) begin
195
         ram[i] <= $random;
196 43 sybreon
      end
197 41 sybreon
      #1 $readmemh("aeMB.rom",ram);
198
   end
199
 
200
   // DISPLAY OUTPUTS ///////////////////////////////////////////////////
201
 
202
   //assign dut.rRESULT = dut.rSIMM;   
203 43 sybreon
 
204 58 sybreon
   integer rnd;
205
 
206 41 sybreon
   always @(posedge sys_clk_i) begin
207 43 sybreon
 
208
      // Interrupt Monitors
209
      if (!dut.rMSR_IE) begin
210
         rnd = $random % 30;
211
         inttime = $stime + 1000 + (rnd*rnd * 10);
212
      end
213
      if ($stime > inttime) begin
214
         sys_int_i = 1;
215
         svc = 0;
216
      end
217
      if (($stime > inttime + 500) && !svc) begin
218
         $display("\n\t*** INTERRUPT TIMEOUT ***", inttime);
219
         $finish;
220
      end
221
      if (dwb_we_o & (dwb_dat_o == "RTNI")) sys_int_i = 0;
222 59 sybreon
      if (dut.regf.fRDWE && (dut.rRD == 5'h0e) && !svc && dut.gena) begin
223
         svc = 1;
224
         //$display("\nLATENCY: ", ($stime - inttime)/10);       
225
      end
226 41 sybreon
 
227
      // Pass/Fail Monitors
228
      if (dwb_we_o & (dwb_dat_o == "FAIL")) begin
229
         $display("\n\tFAIL");
230
         $finish;
231 43 sybreon
      end
232 58 sybreon
 
233 41 sybreon
      if (iwb_dat_i == 32'hb8000000) begin
234 58 sybreon
         theend = theend + 1;
235
      end
236
 
237
      if (theend == 5) begin
238 41 sybreon
         $display("\n\t*** PASSED ALL TESTS ***");
239
         $finish;
240
      end
241
   end // always @ (posedge sys_clk_i)
242
 
243
 
244
   always @(posedge sys_clk_i) if (dut.gena) begin
245
      $write ("\n", ($stime/10));
246 43 sybreon
      $writeh ("\tPC=", {iwb_adr_o,2'd0});
247 41 sybreon
 
248
      // DECODE
249
      $writeh ("\t");
250 59 sybreon
      /*
251 43 sybreon
      case (dut.bpcu.rATOM)
252
        2'o2, 2'o1: $write("/");
253
        2'o0, 2'o3: $write("\\");
254
      endcase // case (dut.bpcu.rATOM)
255 59 sybreon
       */
256 41 sybreon
 
257
      case ({dut.rBRA, dut.rDLY})
258
        2'b00: $write(" ");
259
        2'b01: $write(".");
260
        2'b10: $write("-");
261
        2'b11: $write("+");
262
      endcase // case ({dut.rBRA, dut.rDLY})
263
 
264
      case (dut.rOPC)
265
        6'o00: if (dut.rRD == 0) $write("   "); else $write("ADD");
266
        6'o01: $write("RSUB");
267
        6'o02: $write("ADDC");
268
        6'o03: $write("RSUBC");
269
        6'o04: $write("ADDK");
270
        6'o05: case (dut.rIMM[1:0])
271
                 2'o0: $write("RSUBK");
272
                 2'o1: $write("CMP");
273
                 2'o3: $write("CMPU");
274
                 default: $write("XXX");
275 43 sybreon
               endcase // case (dut.rIMM[1:0])
276 41 sybreon
        6'o06: $write("ADDKC");
277
        6'o07: $write("RSUBKC");
278
 
279
        6'o10: $write("ADDI");
280
        6'o11: $write("RSUBI");
281
        6'o12: $write("ADDIC");
282
        6'o13: $write("RSUBIC");
283
        6'o14: $write("ADDIK");
284
        6'o15: $write("RSUBIK");
285
        6'o16: $write("ADDIKC");
286
        6'o17: $write("RSUBIKC");
287
 
288
        6'o20: $write("MUL");
289
        6'o21: case (dut.rALT[10:9])
290
                 2'o0: $write("BSRL");
291
                 2'o1: $write("BSRA");
292
                 2'o2: $write("BSLL");
293
                 default: $write("XXX");
294 43 sybreon
               endcase // case (dut.rALT[10:9])
295 41 sybreon
        6'o22: $write("IDIV");
296
 
297
        6'o30: $write("MULI");
298
        6'o31: case (dut.rALT[10:9])
299
                 2'o0: $write("BSRLI");
300
                 2'o1: $write("BSRAI");
301
                 2'o2: $write("BSLLI");
302
                 default: $write("XXX");
303 43 sybreon
               endcase // case (dut.rALT[10:9])
304 53 sybreon
        6'o33: case (dut.rRB[4:2])
305
                 3'o0: $write("GET");
306
                 3'o4: $write("PUT");
307
                 3'o2: $write("NGET");
308
                 3'o6: $write("NPUT");
309
                 3'o1: $write("CGET");
310
                 3'o5: $write("CPUT");
311
                 3'o3: $write("NCGET");
312
                 3'o7: $write("NCPUT");
313
               endcase // case (dut.rRB[4:2])
314
 
315 41 sybreon
 
316
        6'o40: $write("OR");
317
        6'o41: $write("AND");
318
        6'o42: if (dut.rRD == 0) $write("   "); else $write("XOR");
319
        6'o43: $write("ANDN");
320 43 sybreon
        6'o44: case (dut.rIMM[6:5])
321
                 2'o0: $write("SRA");
322
                 2'o1: $write("SRC");
323
                 2'o2: $write("SRL");
324
                 2'o3: if (dut.rIMM[0]) $write("SEXT16"); else $write("SEXT8");
325
               endcase // case (dut.rIMM[6:5])
326
 
327 41 sybreon
        6'o45: $write("MOV");
328 43 sybreon
        6'o46: case (dut.rRA[3:2])
329
                 3'o0: $write("BR");
330
                 3'o1: $write("BRL");
331
                 3'o2: $write("BRA");
332
                 3'o3: $write("BRAL");
333
               endcase // case (dut.rRA[3:2])
334
 
335 41 sybreon
        6'o47: case (dut.rRD[2:0])
336
                 3'o0: $write("BEQ");
337
                 3'o1: $write("BNE");
338
                 3'o2: $write("BLT");
339
                 3'o3: $write("BLE");
340
                 3'o4: $write("BGT");
341
                 3'o5: $write("BGE");
342
                 default: $write("XXX");
343
               endcase // case (dut.rRD[2:0])
344
 
345
        6'o50: $write("ORI");
346
        6'o51: $write("ANDI");
347
        6'o52: $write("XORI");
348
        6'o53: $write("ANDNI");
349
        6'o54: $write("IMMI");
350 43 sybreon
        6'o55: case (dut.rRD[1:0])
351
                 2'o0: $write("RTSD");
352
                 2'o1: $write("RTID");
353
                 2'o2: $write("RTBD");
354
                 default: $write("XXX");
355
               endcase
356
        6'o56: case (dut.rRA[3:2])
357
                 3'o0: $write("BRI");
358
                 3'o1: $write("BRLI");
359
                 3'o2: $write("BRAI");
360
                 3'o3: $write("BRALI");
361
               endcase // case (dut.rRA[3:2])
362 41 sybreon
        6'o57: case (dut.rRD[2:0])
363
                 3'o0: $write("BEQI");
364
                 3'o1: $write("BNEI");
365
                 3'o2: $write("BLTI");
366
                 3'o3: $write("BLEI");
367
                 3'o4: $write("BGTI");
368
                 3'o5: $write("BGEI");
369
                 default: $write("XXX");
370
               endcase // case (dut.rRD[2:0])
371
 
372
        6'o60: $write("LBU");
373
        6'o61: $write("LHU");
374
        6'o62: $write("LW");
375
        6'o64: $write("SB");
376
        6'o65: $write("SH");
377
        6'o66: $write("SW");
378
 
379
        6'o70: $write("LBUI");
380
        6'o71: $write("LHUI");
381
        6'o72: $write("LWI");
382
        6'o74: $write("SBI");
383
        6'o75: $write("SHI");
384
        6'o76: $write("SWI");
385
 
386
        default: $write("XXX");
387 43 sybreon
      endcase // case (dut.rOPC)
388 41 sybreon
 
389
      case (dut.rOPC[3])
390
        1'b1: $writeh("\tr",dut.rRD,", r",dut.rRA,", h",dut.rIMM);
391
        1'b0: $writeh("\tr",dut.rRD,", r",dut.rRA,", r",dut.rRB,"  ");
392 43 sybreon
      endcase // case (dut.rOPC[3])
393 41 sybreon
 
394
 
395
      // ALU
396
      $write("\t");
397 43 sybreon
      //$writeh(" I=",dut.rSIMM);
398 50 sybreon
      $writeh(" A=",dut.xecu.rOPA);
399
      $writeh(" B=",dut.xecu.rOPB);
400 41 sybreon
 
401
      case (dut.rMXALU)
402
        3'o0: $write(" ADD");
403
        3'o1: $write(" LOG");
404
        3'o2: $write(" SFT");
405
        3'o3: $write(" MOV");
406
        3'o4: $write(" MUL");
407
        3'o5: $write(" BSF");
408
        default: $write(" XXX");
409 43 sybreon
      endcase // case (dut.rMXALU)
410 41 sybreon
      $writeh("=h",dut.xecu.xRESULT);
411
 
412
      // WRITEBACK
413 43 sybreon
      $writeh("\tSR=", {dut.xecu.rMSR_BIP, dut.xecu.rMSR_C, dut.xecu.rMSR_IE, dut.xecu.rMSR_BE}," ");
414 41 sybreon
 
415
      if (dut.regf.fRDWE) begin
416
         case (dut.rMXDST)
417 53 sybreon
           2'o2: begin
418
              if (dut.dwb_stb_o) $writeh("R",dut.rRW,"=RAM(h",dut.regf.xWDAT,")");
419
              if (dut.fsl_stb_o) $writeh("R",dut.rRW,"=FSL(h",dut.regf.xWDAT,")");
420
           end
421 41 sybreon
           2'o1: $writeh("R",dut.rRW,"=LNK(h",dut.regf.xWDAT,")");
422
           2'o0: $writeh("R",dut.rRW,"=ALU(h",dut.regf.xWDAT,")");
423 43 sybreon
         endcase // case (dut.rMXDST)
424 41 sybreon
      end
425
 
426
      // STORE
427
      if (dwb_stb_o & dwb_we_o) $writeh("RAM(",{dwb_adr_o,2'd0},")=",dwb_dat_o,":",dwb_sel_o);
428
 
429 43 sybreon
   end // if (dut.gena)
430 41 sybreon
 
431
 
432
   // INTERNAL WIRING ////////////////////////////////////////////////////
433
 
434
   aeMB_edk32 #(16,16)
435
     dut (
436
          .sys_int_i(sys_int_i),
437
          .dwb_ack_i(dwb_ack_i),
438
          .dwb_stb_o(dwb_stb_o),
439
          .dwb_adr_o(dwb_adr_o),
440
          .dwb_dat_o(dwb_dat_o),
441
          .dwb_dat_i(dwb_dat_i),
442
          .dwb_wre_o(dwb_we_o),
443
          .dwb_sel_o(dwb_sel_o),
444 53 sybreon
 
445
          .fsl_ack_i(fsl_ack_i),
446
          .fsl_stb_o(fsl_stb_o),
447
          .fsl_adr_o(fsl_adr_o),
448
          .fsl_dat_o(fsl_dat_o),
449
          .fsl_dat_i(fsl_dat_i),
450
          .fsl_wre_o(fsl_we_o),
451
 
452 41 sybreon
          .iwb_adr_o(iwb_adr_o),
453
          .iwb_dat_i(iwb_dat_i),
454
          .iwb_stb_o(iwb_stb_o),
455
          .iwb_ack_i(iwb_ack_i),
456
          .sys_clk_i(sys_clk_i),
457
          .sys_rst_i(sys_rst_i)
458
          );
459
 
460
 
461
 
462
 
463
 
464 43 sybreon
endmodule // edk32

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.