OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [sim/] [verilog/] [edk32.v] - Blame information for rev 67

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 67 sybreon
// $Id: edk32.v,v 1.8 2007-11-18 19:41:45 sybreon Exp $
2 41 sybreon
//
3
// AEMB EDK 3.2 Compatible Core TEST
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7 59 sybreon
// This file is part of AEMB.
8 41 sybreon
//
9 59 sybreon
// AEMB is free software: you can redistribute it and/or modify it
10
// under the terms of the GNU Lesser General Public License as
11
// published by the Free Software Foundation, either version 3 of the
12
// License, or (at your option) any later version.
13
//
14
// AEMB is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
16
// or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
17
// Public License for more details.
18
//
19 41 sybreon
// You should have received a copy of the GNU Lesser General Public
20 59 sybreon
// License along with AEMB. If not, see <http://www.gnu.org/licenses/>.
21 41 sybreon
//
22
// $Log: not supported by cvs2svn $
23 67 sybreon
// Revision 1.7  2007/11/14 22:11:41  sybreon
24
// Added posedge/negedge bus interface.
25
// Modified interrupt test system.
26
//
27 59 sybreon
// Revision 1.6  2007/11/13 23:37:28  sybreon
28
// Updated simulation to also check BRI 0x00 instruction.
29
//
30 58 sybreon
// Revision 1.5  2007/11/09 20:51:53  sybreon
31
// Added GET/PUT support through a FSL bus.
32
//
33 53 sybreon
// Revision 1.4  2007/11/08 14:18:00  sybreon
34
// Parameterised optional components.
35
//
36 50 sybreon
// Revision 1.3  2007/11/05 10:59:31  sybreon
37
// Added random seed for simulation.
38
//
39 49 sybreon
// Revision 1.2  2007/11/02 19:16:10  sybreon
40
// Added interrupt simulation.
41
// Changed "human readable" simulation output.
42
//
43 43 sybreon
// Revision 1.1  2007/11/02 03:25:45  sybreon
44
// New EDK 3.2 compatible design with optional barrel-shifter and multiplier.
45
// Fixed various minor data hazard bugs.
46
// Code compatible with -O0/1/2/3/s generated code.
47
//
48 41 sybreon
 
49
module edk32 ();
50 49 sybreon
 
51
`include "random.v"
52
 
53 41 sybreon
   // INITIAL SETUP //////////////////////////////////////////////////////
54
 
55
   reg       sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i;
56
   reg       svc;
57
   integer   inttime;
58 49 sybreon
   integer   seed;
59 58 sybreon
   integer   theend;
60 41 sybreon
 
61
   always #5 sys_clk_i = ~sys_clk_i;
62
 
63
   initial begin
64 67 sybreon
      $dumpfile("dump.vcd");
65
      $dumpvars(1,dut);
66 59 sybreon
      //$dumpvars(1,dut.scon);      
67 41 sybreon
   end
68
 
69
   initial begin
70 58 sybreon
      seed = randseed;
71
      theend = 0;
72 41 sybreon
      svc = 0;
73 49 sybreon
      sys_clk_i = $random(seed);
74 41 sybreon
      sys_rst_i = 1;
75
      sys_int_i = 0;
76
      sys_exc_i = 0;
77 59 sybreon
      #50 sys_rst_i = 0;
78 41 sybreon
   end
79
 
80
   initial fork
81
      //inttime $display("FSADFASDFSDAF");      
82 43 sybreon
      //#10000 sys_int_i = 1;
83 41 sybreon
      //#1100 sys_int_i = 0;
84
      //#100000 $displayh("\nTest Completed."); 
85
      //#4000 $finish;
86
   join
87
 
88
 
89
   // FAKE MEMORY ////////////////////////////////////////////////////////
90 53 sybreon
 
91
   wire        fsl_stb_o;
92
   wire        fsl_wre_o;
93
   wire [31:0] fsl_dat_o;
94
   wire [31:0] fsl_dat_i;
95 67 sybreon
   wire [6:2]  fsl_adr_o;
96 41 sybreon
 
97
   wire [15:2] iwb_adr_o;
98
   wire        iwb_stb_o;
99
   wire        dwb_stb_o;
100
   reg [31:0]  rom [0:65535];
101
   wire [31:0] iwb_dat_i;
102 53 sybreon
   reg         iwb_ack_i, dwb_ack_i, fsl_ack_i;
103 41 sybreon
 
104
   reg [31:0]  ram[0:65535];
105
   wire [31:0] dwb_dat_i;
106
   reg [31:0]  dwblat;
107
   wire        dwb_we_o;
108
   reg [15:2]  dadr,iadr;
109
   wire [3:0]  dwb_sel_o;
110
   wire [31:0] dwb_dat_o;
111
   wire [15:2] dwb_adr_o;
112 59 sybreon
   wire [31:0] dwb_dat_t;
113
 
114
   initial begin
115
      dwb_ack_i = 0;
116
      iwb_ack_i = 0;
117
      fsl_ack_i = 0;
118
   end
119 41 sybreon
 
120
   assign      {dwb_dat_i[7:0],dwb_dat_i[15:8],dwb_dat_i[23:16],dwb_dat_i[31:24]} = ram[dadr];
121
   assign      {iwb_dat_i[7:0],iwb_dat_i[15:8],iwb_dat_i[23:16],iwb_dat_i[31:24]} = ram[iadr];
122
   assign      {dwb_dat_t} = ram[dwb_adr_o];
123 53 sybreon
 
124
   assign      fsl_dat_i = fsl_adr_o;
125 59 sybreon
 
126
//`define POSEDGE
127
`ifdef POSEDGE
128 41 sybreon
 
129 59 sybreon
   always @(posedge sys_clk_i)
130
     if (sys_rst_i) begin
131
        /*AUTORESET*/
132
        // Beginning of autoreset for uninitialized flops
133
        dwb_ack_i <= 1'h0;
134
        fsl_ack_i <= 1'h0;
135
        iwb_ack_i <= 1'h0;
136
        // End of automatics
137
     end else begin
138
        iwb_ack_i <= #1 iwb_stb_o ^ iwb_ack_i;
139
        dwb_ack_i <= #1 dwb_stb_o ^ dwb_ack_i;
140
        fsl_ack_i <= #1 fsl_stb_o ^ fsl_ack_i;
141
     end
142
 
143
   always @(posedge sys_clk_i) begin
144
      iadr <= #1 iwb_adr_o;
145
      dadr <= #1 dwb_adr_o;
146
 
147
      if (dwb_we_o & dwb_stb_o) begin
148
         case (dwb_sel_o)
149
           4'h1: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_t[23:0]};
150
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:24],dwb_dat_o[15:8],dwb_dat_t[15:0]};
151
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_t[7:0]};
152
           4'h8: ram[dwb_adr_o] <= {dwb_dat_t[31:8],dwb_dat_o[31:24]};
153
           4'h3: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_t[15:0]};
154
           4'hC: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_o[31:24]};
155
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_o[23:16],dwb_dat_o[31:24]};
156
         endcase // case (dwb_sel_o)
157
      end // if (dwb_we_o & dwb_stb_o)
158
   end // always @ (negedge sys_clk_i)
159
 
160
`else // !`ifdef POSEDGE
161
 
162
   always @(negedge sys_clk_i)
163
     if (sys_rst_i) begin
164
        /*AUTORESET*/
165
        // Beginning of autoreset for uninitialized flops
166
        dwb_ack_i <= 1'h0;
167
        fsl_ack_i <= 1'h0;
168
        iwb_ack_i <= 1'h0;
169
        // End of automatics
170
     end else begin
171
        iwb_ack_i <= #1 iwb_stb_o;
172
        dwb_ack_i <= #1 dwb_stb_o;
173
        fsl_ack_i <= #1 fsl_stb_o;
174
     end
175
 
176 41 sybreon
   always @(negedge sys_clk_i) begin
177 59 sybreon
      iadr <= #1 iwb_adr_o;
178
      dadr <= #1 dwb_adr_o;
179 53 sybreon
 
180 41 sybreon
      if (dwb_we_o & dwb_stb_o) begin
181
         case (dwb_sel_o)
182
           4'h1: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_t[23:0]};
183
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:24],dwb_dat_o[15:8],dwb_dat_t[15:0]};
184
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_t[7:0]};
185
           4'h8: ram[dwb_adr_o] <= {dwb_dat_t[31:8],dwb_dat_o[31:24]};
186
           4'h3: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_t[15:0]};
187
           4'hC: ram[dwb_adr_o] <= {dwb_dat_t[31:16],dwb_dat_o[23:16],dwb_dat_o[31:24]};
188
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o[7:0],dwb_dat_o[15:8],dwb_dat_o[23:16],dwb_dat_o[31:24]};
189 43 sybreon
         endcase // case (dwb_sel_o)
190
      end // if (dwb_we_o & dwb_stb_o)
191
   end // always @ (negedge sys_clk_i)
192 59 sybreon
 
193
`endif // !`ifdef POSEDGE
194
 
195 41 sybreon
 
196
   integer i;
197
   initial begin
198
      for (i=0;i<65535;i=i+1) begin
199
         ram[i] <= $random;
200 43 sybreon
      end
201 41 sybreon
      #1 $readmemh("aeMB.rom",ram);
202
   end
203
 
204
   // DISPLAY OUTPUTS ///////////////////////////////////////////////////
205
 
206
   //assign dut.rRESULT = dut.rSIMM;   
207 43 sybreon
 
208 58 sybreon
   integer rnd;
209
 
210 41 sybreon
   always @(posedge sys_clk_i) begin
211 43 sybreon
 
212
      // Interrupt Monitors
213
      if (!dut.rMSR_IE) begin
214
         rnd = $random % 30;
215
         inttime = $stime + 1000 + (rnd*rnd * 10);
216
      end
217
      if ($stime > inttime) begin
218
         sys_int_i = 1;
219
         svc = 0;
220
      end
221
      if (($stime > inttime + 500) && !svc) begin
222
         $display("\n\t*** INTERRUPT TIMEOUT ***", inttime);
223
         $finish;
224
      end
225
      if (dwb_we_o & (dwb_dat_o == "RTNI")) sys_int_i = 0;
226 59 sybreon
      if (dut.regf.fRDWE && (dut.rRD == 5'h0e) && !svc && dut.gena) begin
227
         svc = 1;
228
         //$display("\nLATENCY: ", ($stime - inttime)/10);       
229
      end
230 41 sybreon
 
231
      // Pass/Fail Monitors
232
      if (dwb_we_o & (dwb_dat_o == "FAIL")) begin
233
         $display("\n\tFAIL");
234
         $finish;
235 43 sybreon
      end
236 58 sybreon
 
237 41 sybreon
      if (iwb_dat_i == 32'hb8000000) begin
238 58 sybreon
         theend = theend + 1;
239
      end
240
 
241
      if (theend == 5) begin
242 41 sybreon
         $display("\n\t*** PASSED ALL TESTS ***");
243
         $finish;
244
      end
245
   end // always @ (posedge sys_clk_i)
246
 
247
 
248
   always @(posedge sys_clk_i) if (dut.gena) begin
249
      $write ("\n", ($stime/10));
250 43 sybreon
      $writeh ("\tPC=", {iwb_adr_o,2'd0});
251 41 sybreon
 
252
      // DECODE
253
      $writeh ("\t");
254 59 sybreon
      /*
255 43 sybreon
      case (dut.bpcu.rATOM)
256
        2'o2, 2'o1: $write("/");
257
        2'o0, 2'o3: $write("\\");
258
      endcase // case (dut.bpcu.rATOM)
259 59 sybreon
       */
260 41 sybreon
 
261
      case ({dut.rBRA, dut.rDLY})
262
        2'b00: $write(" ");
263
        2'b01: $write(".");
264
        2'b10: $write("-");
265
        2'b11: $write("+");
266
      endcase // case ({dut.rBRA, dut.rDLY})
267
 
268
      case (dut.rOPC)
269
        6'o00: if (dut.rRD == 0) $write("   "); else $write("ADD");
270
        6'o01: $write("RSUB");
271
        6'o02: $write("ADDC");
272
        6'o03: $write("RSUBC");
273
        6'o04: $write("ADDK");
274
        6'o05: case (dut.rIMM[1:0])
275
                 2'o0: $write("RSUBK");
276
                 2'o1: $write("CMP");
277
                 2'o3: $write("CMPU");
278
                 default: $write("XXX");
279 43 sybreon
               endcase // case (dut.rIMM[1:0])
280 41 sybreon
        6'o06: $write("ADDKC");
281
        6'o07: $write("RSUBKC");
282
 
283
        6'o10: $write("ADDI");
284
        6'o11: $write("RSUBI");
285
        6'o12: $write("ADDIC");
286
        6'o13: $write("RSUBIC");
287
        6'o14: $write("ADDIK");
288
        6'o15: $write("RSUBIK");
289
        6'o16: $write("ADDIKC");
290
        6'o17: $write("RSUBIKC");
291
 
292
        6'o20: $write("MUL");
293
        6'o21: case (dut.rALT[10:9])
294
                 2'o0: $write("BSRL");
295
                 2'o1: $write("BSRA");
296
                 2'o2: $write("BSLL");
297
                 default: $write("XXX");
298 43 sybreon
               endcase // case (dut.rALT[10:9])
299 41 sybreon
        6'o22: $write("IDIV");
300
 
301
        6'o30: $write("MULI");
302
        6'o31: case (dut.rALT[10:9])
303
                 2'o0: $write("BSRLI");
304
                 2'o1: $write("BSRAI");
305
                 2'o2: $write("BSLLI");
306
                 default: $write("XXX");
307 43 sybreon
               endcase // case (dut.rALT[10:9])
308 53 sybreon
        6'o33: case (dut.rRB[4:2])
309
                 3'o0: $write("GET");
310
                 3'o4: $write("PUT");
311
                 3'o2: $write("NGET");
312
                 3'o6: $write("NPUT");
313
                 3'o1: $write("CGET");
314
                 3'o5: $write("CPUT");
315
                 3'o3: $write("NCGET");
316
                 3'o7: $write("NCPUT");
317
               endcase // case (dut.rRB[4:2])
318
 
319 41 sybreon
 
320
        6'o40: $write("OR");
321
        6'o41: $write("AND");
322
        6'o42: if (dut.rRD == 0) $write("   "); else $write("XOR");
323
        6'o43: $write("ANDN");
324 43 sybreon
        6'o44: case (dut.rIMM[6:5])
325
                 2'o0: $write("SRA");
326
                 2'o1: $write("SRC");
327
                 2'o2: $write("SRL");
328
                 2'o3: if (dut.rIMM[0]) $write("SEXT16"); else $write("SEXT8");
329
               endcase // case (dut.rIMM[6:5])
330
 
331 41 sybreon
        6'o45: $write("MOV");
332 43 sybreon
        6'o46: case (dut.rRA[3:2])
333
                 3'o0: $write("BR");
334
                 3'o1: $write("BRL");
335
                 3'o2: $write("BRA");
336
                 3'o3: $write("BRAL");
337
               endcase // case (dut.rRA[3:2])
338
 
339 41 sybreon
        6'o47: case (dut.rRD[2:0])
340
                 3'o0: $write("BEQ");
341
                 3'o1: $write("BNE");
342
                 3'o2: $write("BLT");
343
                 3'o3: $write("BLE");
344
                 3'o4: $write("BGT");
345
                 3'o5: $write("BGE");
346
                 default: $write("XXX");
347
               endcase // case (dut.rRD[2:0])
348
 
349
        6'o50: $write("ORI");
350
        6'o51: $write("ANDI");
351
        6'o52: $write("XORI");
352
        6'o53: $write("ANDNI");
353
        6'o54: $write("IMMI");
354 43 sybreon
        6'o55: case (dut.rRD[1:0])
355
                 2'o0: $write("RTSD");
356
                 2'o1: $write("RTID");
357
                 2'o2: $write("RTBD");
358
                 default: $write("XXX");
359
               endcase
360
        6'o56: case (dut.rRA[3:2])
361
                 3'o0: $write("BRI");
362
                 3'o1: $write("BRLI");
363
                 3'o2: $write("BRAI");
364
                 3'o3: $write("BRALI");
365
               endcase // case (dut.rRA[3:2])
366 41 sybreon
        6'o57: case (dut.rRD[2:0])
367
                 3'o0: $write("BEQI");
368
                 3'o1: $write("BNEI");
369
                 3'o2: $write("BLTI");
370
                 3'o3: $write("BLEI");
371
                 3'o4: $write("BGTI");
372
                 3'o5: $write("BGEI");
373
                 default: $write("XXX");
374
               endcase // case (dut.rRD[2:0])
375
 
376
        6'o60: $write("LBU");
377
        6'o61: $write("LHU");
378
        6'o62: $write("LW");
379
        6'o64: $write("SB");
380
        6'o65: $write("SH");
381
        6'o66: $write("SW");
382
 
383
        6'o70: $write("LBUI");
384
        6'o71: $write("LHUI");
385
        6'o72: $write("LWI");
386
        6'o74: $write("SBI");
387
        6'o75: $write("SHI");
388
        6'o76: $write("SWI");
389
 
390
        default: $write("XXX");
391 43 sybreon
      endcase // case (dut.rOPC)
392 41 sybreon
 
393
      case (dut.rOPC[3])
394
        1'b1: $writeh("\tr",dut.rRD,", r",dut.rRA,", h",dut.rIMM);
395
        1'b0: $writeh("\tr",dut.rRD,", r",dut.rRA,", r",dut.rRB,"  ");
396 43 sybreon
      endcase // case (dut.rOPC[3])
397 41 sybreon
 
398
 
399
      // ALU
400
      $write("\t");
401 43 sybreon
      //$writeh(" I=",dut.rSIMM);
402 50 sybreon
      $writeh(" A=",dut.xecu.rOPA);
403
      $writeh(" B=",dut.xecu.rOPB);
404 41 sybreon
 
405
      case (dut.rMXALU)
406
        3'o0: $write(" ADD");
407
        3'o1: $write(" LOG");
408
        3'o2: $write(" SFT");
409
        3'o3: $write(" MOV");
410
        3'o4: $write(" MUL");
411
        3'o5: $write(" BSF");
412
        default: $write(" XXX");
413 43 sybreon
      endcase // case (dut.rMXALU)
414 41 sybreon
      $writeh("=h",dut.xecu.xRESULT);
415
 
416
      // WRITEBACK
417 43 sybreon
      $writeh("\tSR=", {dut.xecu.rMSR_BIP, dut.xecu.rMSR_C, dut.xecu.rMSR_IE, dut.xecu.rMSR_BE}," ");
418 41 sybreon
 
419
      if (dut.regf.fRDWE) begin
420
         case (dut.rMXDST)
421 53 sybreon
           2'o2: begin
422
              if (dut.dwb_stb_o) $writeh("R",dut.rRW,"=RAM(h",dut.regf.xWDAT,")");
423
              if (dut.fsl_stb_o) $writeh("R",dut.rRW,"=FSL(h",dut.regf.xWDAT,")");
424
           end
425 41 sybreon
           2'o1: $writeh("R",dut.rRW,"=LNK(h",dut.regf.xWDAT,")");
426
           2'o0: $writeh("R",dut.rRW,"=ALU(h",dut.regf.xWDAT,")");
427 43 sybreon
         endcase // case (dut.rMXDST)
428 41 sybreon
      end
429
 
430
      // STORE
431
      if (dwb_stb_o & dwb_we_o) $writeh("RAM(",{dwb_adr_o,2'd0},")=",dwb_dat_o,":",dwb_sel_o);
432
 
433 43 sybreon
   end // if (dut.gena)
434 41 sybreon
 
435
 
436
   // INTERNAL WIRING ////////////////////////////////////////////////////
437
 
438
   aeMB_edk32 #(16,16)
439
     dut (
440
          .sys_int_i(sys_int_i),
441
          .dwb_ack_i(dwb_ack_i),
442
          .dwb_stb_o(dwb_stb_o),
443
          .dwb_adr_o(dwb_adr_o),
444
          .dwb_dat_o(dwb_dat_o),
445
          .dwb_dat_i(dwb_dat_i),
446
          .dwb_wre_o(dwb_we_o),
447
          .dwb_sel_o(dwb_sel_o),
448 53 sybreon
 
449
          .fsl_ack_i(fsl_ack_i),
450
          .fsl_stb_o(fsl_stb_o),
451
          .fsl_adr_o(fsl_adr_o),
452
          .fsl_dat_o(fsl_dat_o),
453
          .fsl_dat_i(fsl_dat_i),
454
          .fsl_wre_o(fsl_we_o),
455
 
456 41 sybreon
          .iwb_adr_o(iwb_adr_o),
457
          .iwb_dat_i(iwb_dat_i),
458
          .iwb_stb_o(iwb_stb_o),
459
          .iwb_ack_i(iwb_ack_i),
460
          .sys_clk_i(sys_clk_i),
461
          .sys_rst_i(sys_rst_i)
462
          );
463
 
464
 
465
 
466
 
467
 
468 43 sybreon
endmodule // edk32

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.