OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [sim/] [verilog/] [edk32.v] - Blame information for rev 79

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 79 sybreon
// $Id: edk32.v,v 1.11 2007-12-11 00:44:31 sybreon Exp $
2 41 sybreon
//
3
// AEMB EDK 3.2 Compatible Core TEST
4
//
5
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
6
//  
7 59 sybreon
// This file is part of AEMB.
8 41 sybreon
//
9 59 sybreon
// AEMB is free software: you can redistribute it and/or modify it
10
// under the terms of the GNU Lesser General Public License as
11
// published by the Free Software Foundation, either version 3 of the
12
// License, or (at your option) any later version.
13
//
14
// AEMB is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
16
// or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
17
// Public License for more details.
18
//
19 41 sybreon
// You should have received a copy of the GNU Lesser General Public
20 59 sybreon
// License along with AEMB. If not, see <http://www.gnu.org/licenses/>.
21 41 sybreon
//
22
// $Log: not supported by cvs2svn $
23 79 sybreon
// Revision 1.10  2007/11/30 17:08:30  sybreon
24
// Moved simulation kernel into code.
25
//
26 73 sybreon
// Revision 1.9  2007/11/20 18:36:00  sybreon
27
// Removed unnecessary byte acrobatics with VMEM data.
28
//
29 69 sybreon
// Revision 1.8  2007/11/18 19:41:45  sybreon
30
// Minor simulation fixes.
31
//
32 67 sybreon
// Revision 1.7  2007/11/14 22:11:41  sybreon
33
// Added posedge/negedge bus interface.
34
// Modified interrupt test system.
35
//
36 59 sybreon
// Revision 1.6  2007/11/13 23:37:28  sybreon
37
// Updated simulation to also check BRI 0x00 instruction.
38
//
39 58 sybreon
// Revision 1.5  2007/11/09 20:51:53  sybreon
40
// Added GET/PUT support through a FSL bus.
41
//
42 53 sybreon
// Revision 1.4  2007/11/08 14:18:00  sybreon
43
// Parameterised optional components.
44
//
45 50 sybreon
// Revision 1.3  2007/11/05 10:59:31  sybreon
46
// Added random seed for simulation.
47
//
48 49 sybreon
// Revision 1.2  2007/11/02 19:16:10  sybreon
49
// Added interrupt simulation.
50
// Changed "human readable" simulation output.
51
//
52 43 sybreon
// Revision 1.1  2007/11/02 03:25:45  sybreon
53
// New EDK 3.2 compatible design with optional barrel-shifter and multiplier.
54
// Fixed various minor data hazard bugs.
55
// Code compatible with -O0/1/2/3/s generated code.
56
//
57 41 sybreon
 
58 79 sybreon
`define AEMB_SIMULATION_KERNEL
59
 
60 41 sybreon
module edk32 ();
61 79 sybreon
 
62 49 sybreon
`include "random.v"
63
 
64 41 sybreon
   // INITIAL SETUP //////////////////////////////////////////////////////
65
 
66
   reg       sys_clk_i, sys_rst_i, sys_int_i, sys_exc_i;
67
   reg       svc;
68
   integer   inttime;
69 49 sybreon
   integer   seed;
70 58 sybreon
   integer   theend;
71 41 sybreon
 
72
   always #5 sys_clk_i = ~sys_clk_i;
73
 
74
   initial begin
75 69 sybreon
      //$dumpfile("dump.vcd");
76
      //$dumpvars(1,dut);
77 41 sybreon
   end
78
 
79
   initial begin
80 58 sybreon
      seed = randseed;
81
      theend = 0;
82 41 sybreon
      svc = 0;
83 49 sybreon
      sys_clk_i = $random(seed);
84 41 sybreon
      sys_rst_i = 1;
85
      sys_int_i = 0;
86
      sys_exc_i = 0;
87 59 sybreon
      #50 sys_rst_i = 0;
88 41 sybreon
   end
89
 
90
   initial fork
91
      //inttime $display("FSADFASDFSDAF");      
92 43 sybreon
      //#10000 sys_int_i = 1;
93 41 sybreon
      //#1100 sys_int_i = 0;
94
      //#100000 $displayh("\nTest Completed."); 
95
      //#4000 $finish;
96
   join
97
 
98
 
99
   // FAKE MEMORY ////////////////////////////////////////////////////////
100 53 sybreon
 
101
   wire        fsl_stb_o;
102
   wire        fsl_wre_o;
103
   wire [31:0] fsl_dat_o;
104
   wire [31:0] fsl_dat_i;
105 67 sybreon
   wire [6:2]  fsl_adr_o;
106 41 sybreon
 
107
   wire [15:2] iwb_adr_o;
108
   wire        iwb_stb_o;
109
   wire        dwb_stb_o;
110
   reg [31:0]  rom [0:65535];
111
   wire [31:0] iwb_dat_i;
112 53 sybreon
   reg         iwb_ack_i, dwb_ack_i, fsl_ack_i;
113 41 sybreon
 
114
   reg [31:0]  ram[0:65535];
115
   wire [31:0] dwb_dat_i;
116
   reg [31:0]  dwblat;
117
   wire        dwb_we_o;
118
   reg [15:2]  dadr,iadr;
119
   wire [3:0]  dwb_sel_o;
120
   wire [31:0] dwb_dat_o;
121
   wire [15:2] dwb_adr_o;
122 59 sybreon
   wire [31:0] dwb_dat_t;
123
 
124
   initial begin
125
      dwb_ack_i = 0;
126
      iwb_ack_i = 0;
127
      fsl_ack_i = 0;
128
   end
129 41 sybreon
 
130 69 sybreon
   assign      dwb_dat_t = ram[dwb_adr_o];
131
   assign      iwb_dat_i = ram[iadr];
132
   assign      dwb_dat_i = ram[dadr];
133 53 sybreon
   assign      fsl_dat_i = fsl_adr_o;
134 59 sybreon
 
135
`ifdef POSEDGE
136 41 sybreon
 
137 59 sybreon
   always @(posedge sys_clk_i)
138
     if (sys_rst_i) begin
139
        /*AUTORESET*/
140
        // Beginning of autoreset for uninitialized flops
141
        dwb_ack_i <= 1'h0;
142
        fsl_ack_i <= 1'h0;
143
        iwb_ack_i <= 1'h0;
144
        // End of automatics
145
     end else begin
146
        iwb_ack_i <= #1 iwb_stb_o ^ iwb_ack_i;
147
        dwb_ack_i <= #1 dwb_stb_o ^ dwb_ack_i;
148
        fsl_ack_i <= #1 fsl_stb_o ^ fsl_ack_i;
149 73 sybreon
     end // else: !if(sys_rst_i)
150 59 sybreon
 
151
   always @(posedge sys_clk_i) begin
152
      iadr <= #1 iwb_adr_o;
153
      dadr <= #1 dwb_adr_o;
154
 
155
      if (dwb_we_o & dwb_stb_o) begin
156
         case (dwb_sel_o)
157 69 sybreon
           4'h1: ram[dwb_adr_o] <= {dwb_dat_t[31:8], dwb_dat_o[7:0]};
158
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:16], dwb_dat_o[15:8], dwb_dat_t[7:0]};
159
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:24], dwb_dat_o[23:16], dwb_dat_t[15:0]};
160
           4'h8: ram[dwb_adr_o] <= {dwb_dat_o[31:24], dwb_dat_t[23:0]};
161
           4'h3: ram[dwb_adr_o] <= {dwb_dat_t[31:16], dwb_dat_o[15:0]};
162
           4'hC: ram[dwb_adr_o] <= {dwb_dat_o[31:16], dwb_dat_t[15:0]};
163
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o};
164 59 sybreon
         endcase // case (dwb_sel_o)
165
      end // if (dwb_we_o & dwb_stb_o)
166 73 sybreon
   end // always @ (posedge sys_clk_i)
167 59 sybreon
 
168
`else // !`ifdef POSEDGE
169
 
170
   always @(negedge sys_clk_i)
171
     if (sys_rst_i) begin
172
        /*AUTORESET*/
173
        // Beginning of autoreset for uninitialized flops
174
        dwb_ack_i <= 1'h0;
175
        fsl_ack_i <= 1'h0;
176
        iwb_ack_i <= 1'h0;
177
        // End of automatics
178
     end else begin
179
        iwb_ack_i <= #1 iwb_stb_o;
180
        dwb_ack_i <= #1 dwb_stb_o;
181
        fsl_ack_i <= #1 fsl_stb_o;
182 73 sybreon
     end // else: !if(sys_rst_i)
183 59 sybreon
 
184 41 sybreon
   always @(negedge sys_clk_i) begin
185 59 sybreon
      iadr <= #1 iwb_adr_o;
186
      dadr <= #1 dwb_adr_o;
187 53 sybreon
 
188 41 sybreon
      if (dwb_we_o & dwb_stb_o) begin
189
         case (dwb_sel_o)
190 69 sybreon
           4'h1: ram[dwb_adr_o] <= {dwb_dat_t[31:8], dwb_dat_o[7:0]};
191
           4'h2: ram[dwb_adr_o] <= {dwb_dat_t[31:16], dwb_dat_o[15:8], dwb_dat_t[7:0]};
192
           4'h4: ram[dwb_adr_o] <= {dwb_dat_t[31:24], dwb_dat_o[23:16], dwb_dat_t[15:0]};
193
           4'h8: ram[dwb_adr_o] <= {dwb_dat_o[31:24], dwb_dat_t[23:0]};
194
           4'h3: ram[dwb_adr_o] <= {dwb_dat_t[31:16], dwb_dat_o[15:0]};
195
           4'hC: ram[dwb_adr_o] <= {dwb_dat_o[31:16], dwb_dat_t[15:0]};
196
           4'hF: ram[dwb_adr_o] <= {dwb_dat_o};
197 43 sybreon
         endcase // case (dwb_sel_o)
198
      end // if (dwb_we_o & dwb_stb_o)
199
   end // always @ (negedge sys_clk_i)
200 59 sybreon
 
201
`endif // !`ifdef POSEDGE
202
 
203 41 sybreon
 
204
   integer i;
205
   initial begin
206
      for (i=0;i<65535;i=i+1) begin
207
         ram[i] <= $random;
208 43 sybreon
      end
209 79 sybreon
      #1 $readmemh("dump.vmem",ram);
210 41 sybreon
   end
211
 
212
   // DISPLAY OUTPUTS ///////////////////////////////////////////////////
213
 
214 58 sybreon
   integer rnd;
215
 
216 41 sybreon
   always @(posedge sys_clk_i) begin
217 43 sybreon
 
218
      // Interrupt Monitors
219
      if (!dut.rMSR_IE) begin
220
         rnd = $random % 30;
221
         inttime = $stime + 1000 + (rnd*rnd * 10);
222
      end
223
      if ($stime > inttime) begin
224
         sys_int_i = 1;
225
         svc = 0;
226
      end
227
      if (($stime > inttime + 500) && !svc) begin
228
         $display("\n\t*** INTERRUPT TIMEOUT ***", inttime);
229
         $finish;
230
      end
231
      if (dwb_we_o & (dwb_dat_o == "RTNI")) sys_int_i = 0;
232 59 sybreon
      if (dut.regf.fRDWE && (dut.rRD == 5'h0e) && !svc && dut.gena) begin
233
         svc = 1;
234
         //$display("\nLATENCY: ", ($stime - inttime)/10);       
235
      end
236 41 sybreon
 
237
      // Pass/Fail Monitors
238
      if (dwb_we_o & (dwb_dat_o == "FAIL")) begin
239
         $display("\n\tFAIL");
240
         $finish;
241 43 sybreon
      end
242 58 sybreon
 
243 41 sybreon
      if (iwb_dat_i == 32'hb8000000) begin
244 58 sybreon
         theend = theend + 1;
245
      end
246
 
247
      if (theend == 5) begin
248 41 sybreon
         $display("\n\t*** PASSED ALL TESTS ***");
249
         $finish;
250
      end
251
   end // always @ (posedge sys_clk_i)
252
 
253
   // INTERNAL WIRING ////////////////////////////////////////////////////
254
 
255
   aeMB_edk32 #(16,16)
256
     dut (
257
          .sys_int_i(sys_int_i),
258
          .dwb_ack_i(dwb_ack_i),
259
          .dwb_stb_o(dwb_stb_o),
260
          .dwb_adr_o(dwb_adr_o),
261
          .dwb_dat_o(dwb_dat_o),
262
          .dwb_dat_i(dwb_dat_i),
263
          .dwb_wre_o(dwb_we_o),
264
          .dwb_sel_o(dwb_sel_o),
265 53 sybreon
 
266
          .fsl_ack_i(fsl_ack_i),
267
          .fsl_stb_o(fsl_stb_o),
268
          .fsl_adr_o(fsl_adr_o),
269
          .fsl_dat_o(fsl_dat_o),
270
          .fsl_dat_i(fsl_dat_i),
271
          .fsl_wre_o(fsl_we_o),
272
 
273 41 sybreon
          .iwb_adr_o(iwb_adr_o),
274
          .iwb_dat_i(iwb_dat_i),
275
          .iwb_stb_o(iwb_stb_o),
276
          .iwb_ack_i(iwb_ack_i),
277
          .sys_clk_i(sys_clk_i),
278
          .sys_rst_i(sys_rst_i)
279
          );
280
 
281 43 sybreon
endmodule // edk32

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.