OpenCores
URL https://opencores.org/ocsvn/aes_decry_ip_128bit/aes_decry_ip_128bit/trunk

Subversion Repositories aes_decry_ip_128bit

[/] [aes_decry_ip_128bit/] [trunk/] [rtl/] [README.txt] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ghegde
Inverse Cipher
2
InvShiftRows(state)  // See Sec. 5.3.1
3
InvSubBytes(state)  // See Sec. 5.3.2
4
AddRoundKey(state, w[round*Nb, (round+1)*Nb-1])
5
InvMixColumns(state)  // See Sec. 5.3.
6
 
7
NOTE: Key scheduler same as encryption.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.