OpenCores
URL https://opencores.org/ocsvn/aes_decry_ip_128bit/aes_decry_ip_128bit/trunk

Subversion Repositories aes_decry_ip_128bit

[/] [aes_decry_ip_128bit/] [trunk/] [testbench/] [misc_tb/] [tb_one_round_decrypt.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ghegde
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
entity tb_one_round_decrypt is
5
end tb_one_round_decrypt;
6
 
7
architecture beh_tb_one_round_decrypt of tb_one_round_decrypt is
8
   component one_round_decrypt
9
      port(
10
             cipher : in std_logic_vector(127 downto 0);
11
                     text_out: out std_logic_vector(127 downto 0);
12
                     round_key: in std_logic_vector(127 downto 0)
13
          );
14
   end component;
15
   signal cipher,text_out: std_logic_vector(127 downto 0);
16
   signal round_key:std_logic_vector(127 downto 0);
17
begin
18
    uut:one_round_decrypt
19
        port map(cipher=>cipher,text_out=>text_out,round_key=>round_key);
20
 
21
        process
22
        begin
23
           wait for 50 ns;
24
           cipher<=x"7ad5fda789ef4e272bca100b3d9ff59f";
25
           round_key<=x"549932d1f08557681093ed9cbe2c974e";
26
           wait for 10 ns;
27
           cipher<=x"b458124c68b68a014b99f82e5f15554c";
28
           round_key<=x"5e390f7df7a69296a7553dc10aa31f6b";
29
           wait;
30
        end process;
31
 
32
 
33
end beh_tb_one_round_decrypt;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.