OpenCores
URL https://opencores.org/ocsvn/aes_decry_ip_128bit/aes_decry_ip_128bit/trunk

Subversion Repositories aes_decry_ip_128bit

[/] [aes_decry_ip_128bit/] [trunk/] [testbench/] [misc_tb/] [tb_one_round_key.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ghegde
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
entity tb_one_round_key is
5
end tb_one_round_key;
6
 
7
architecture beh_tb_one_round_key of tb_one_round_key is
8
   component one_round_key
9
   port(
10
            in_key: in std_logic_vector(127 downto 0);
11
            out_key: out std_logic_vector(127 downto 0);
12
            rcon: in std_logic_vector(7 downto 0)
13
           );
14
    end component;
15
 
16
component Sbox
17
port (
18
        data_in: in std_logic_vector(7 downto 0);
19
        data_out:out std_logic_vector(7 downto 0)
20
);
21
end component;
22
 
23
   signal key_in,key_out:std_logic_vector(127 downto 0);
24
   signal rcon,in_data,out_data:std_logic_vector(7 downto 0);
25
 
26
begin
27
   uut:one_round_key
28
   port map(in_key=>key_in,out_key=>key_out,rcon=>rcon);
29
 process
30
 begin
31
 wait for 100 ns;
32
 key_in<=x"000102030405060708090a0b0c0d0e0f";
33
 rcon<=x"01";
34
 wait for 100 ns;
35
 end process;
36
end beh_tb_one_round_key;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.