OpenCores
URL https://opencores.org/ocsvn/aes_pipe/aes_pipe/trunk

Subversion Repositories aes_pipe

[/] [aes_pipe/] [trunk/] [rtl/] [vhdl/] [addkey.vhdl] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 subhasis25
----------------------------------------------------------------------
2
----                                                              ----
3 5 subhasis25
---- Pipelined Aes IP Core                                        ----
4
----                                                              ----
5
---- This file is part of the Pipelined AES project               ----
6
---- http://www.opencores.org/cores/aes_pipe/                     ----
7
----                                                              ----
8
---- Description                                                  ----
9
---- Implementation of AES IP core according to                   ----
10
---- FIPS PUB 197 specification document.                         ----
11
----                                                              ----
12
---- To Do:                                                       ----
13
----   -                                                          ----
14
----                                                              ----
15
---- Author:                                                      ----
16
----      - Subhasis Das, subhasis256@gmail.com                   ----
17
----                                                              ----
18
----------------------------------------------------------------------
19
----                                                              ----
20
---- Copyright (C) 2009 Authors and OPENCORES.ORG                 ----
21
----                                                              ----
22 2 subhasis25
---- This source file may be used and distributed without         ----
23
---- restriction provided that this copyright statement is not    ----
24 5 subhasis25
---- removed from the file and that any derivative work contains ----
25 2 subhasis25
---- the original copyright notice and the associated disclaimer. ----
26
----                                                              ----
27
---- This source file is free software; you can redistribute it   ----
28
---- and/or modify it under the terms of the GNU Lesser General   ----
29
---- Public License as published by the Free Software Foundation; ----
30
---- either version 2.1 of the License, or (at your option) any   ----
31
---- later version.                                               ----
32
----                                                              ----
33
---- This source is distributed in the hope that it will be       ----
34
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ----
35
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ----
36 5 subhasis25
---- PURPOSE. See the GNU Lesser General Public License for more ----
37 2 subhasis25
---- details.                                                     ----
38
----                                                              ----
39
---- You should have received a copy of the GNU Lesser General    ----
40
---- Public License along with this source; if not, download it   ----
41 5 subhasis25
---- from http://www.opencores.org/lgpl.shtml                     ----
42 2 subhasis25
----                                                              ----
43
----------------------------------------------------------------------
44
------------------------------------------------------
45
-- Project: AESFast
46
-- Author: Subhasis
47
-- Last Modified: 20/03/10
48
-- Email: subhasis256@gmail.com
49
------------------------------------------------------
50
--
51
-- Description: The AddKey step
52
-- Ports:
53
--                      clk: System Clock
54
--                      roundkey: The RoundKey block for this round
55
--                      datain: Input State block
56
--                      rcon: The rcon byte corresponding to the current stage
57
--                      dataout: datain xor roundkey
58
--                      fc3: See keysched1 for explanation
59
--                      c0: See keysched1 for explanation
60
--                      c1: See keysched1 for explanation
61
--                      c2: See keysched1 for explanation
62
--                      c3: See keysched1 for explanation
63
------------------------------------------------------
64
 
65
library IEEE;
66
use IEEE.std_logic_1164.all;
67
use IEEE.std_logic_arith.all;
68
use IEEE.std_logic_unsigned.all;
69
 
70
library work;
71
use work.aes_pkg.all;
72
 
73
entity addkey is
74
port(
75
        clk: in std_logic;
76
        roundkey: in datablock;
77
        datain: in datablock;
78
        rcon: in std_logic_vector(7 downto 0);
79
        dataout: out datablock;
80
        fc3: out blockcol;
81
        c0: out blockcol;
82
        c1: out blockcol;
83
        c2: out blockcol;
84
        c3: out blockcol
85
        );
86
end addkey;
87
 
88
architecture rtl of addkey is
89
component keysched1 is
90
port(
91
        clk: in std_logic;
92
        roundkey: in datablock;
93
        rcon: in std_logic_vector(7 downto 0);
94
        fc3: out blockcol;
95
        c0: out blockcol;
96
        c1: out blockcol;
97
        c2: out blockcol;
98
        c3: out blockcol
99
        );
100
end component;
101
signal added: datablock;
102
begin
103
        step1: keysched1 port map(
104
                                                         clk => clk,
105
                                                         roundkey => roundkey,
106
                                                         rcon => rcon,
107
                                                         fc3 => fc3,
108
                                                         c0 => c0,
109
                                                         c1 => c1,
110
                                                         c2 => c2,
111
                                                         c3 => c3
112
                                                         );
113
        g0: for i in 3 downto 0 generate
114
                g1: for j in 3 downto 0 generate
115
                        added(i,j) <= datain(i,j) xor roundkey(i,j);
116
                end generate;
117
        end generate;
118
 
119
        process(clk)
120
        begin
121
                if(rising_edge(clk)) then
122
                        dataout <= added;
123
                end if;
124
        end process;
125
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.