OpenCores
URL https://opencores.org/ocsvn/aes_pipe/aes_pipe/trunk

Subversion Repositories aes_pipe

[/] [aes_pipe/] [trunk/] [syn/] [Xilinx/] [log/] [aes.log] - Blame information for rev 10

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 subhasis25
Release 11.1 - xst L.33 (lin)
2
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
3
-->
4
 
5
TABLE OF CONTENTS
6
  1) Synthesis Options Summary
7
  2) HDL Compilation
8
  3) Design Hierarchy Analysis
9
  4) HDL Analysis
10
  5) HDL Synthesis
11
     5.1) HDL Synthesis Report
12
  6) Advanced HDL Synthesis
13
     6.1) Advanced HDL Synthesis Report
14
  7) Low Level Synthesis
15
  8) Partition Report
16
  9) Final Report
17
        9.1) Device utilization summary
18
        9.2) Partition Resource Summary
19
        9.3) TIMING REPORT
20
 
21
 
22
=========================================================================
23
*                      Synthesis Options Summary                        *
24
=========================================================================
25
---- Source Parameters
26
Input File Name                    : "aes.prj"
27
Input Format                       : vhdl
28
 
29
---- Target Parameters
30
Output File Name                   : "../out/aes.ngc"
31
Output Format                      : NGC
32
Target Device                      : xc5vlx50t-1ff1136
33
 
34
---- Source Options
35
Top Module Name                    : aes_top
36
Decoder Extraction                 : YES
37
Priority Encoder Extraction        : YES
38
Automatic Register Balancing       : NO
39
Resource Sharing                   : YES
40
 
41
---- Target Options
42
Pack IO Registers into IOBs        : FALSE
43
 
44
---- General Options
45
Optimization Goal                  : area
46
Optimization Effort                : 2
47
Global Optimization                : ALLCLOCKNETS
48
RTL Output                         : YES
49
 
50
---- Other Options
51
hdl_compilation_order              : USER
52
 
53
=========================================================================
54
 
55
 
56
=========================================================================
57
*                          HDL Compilation                              *
58
=========================================================================
59
Compiling vhdl file "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/aes_pkg.vhdl" in Library work.
60
Compiling vhdl file "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/mixcol.vhdl" in Library work.
61
Architecture rtl of Entity mixcol is up to date.
62
Compiling vhdl file "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/colmix.vhdl" in Library work.
63
Architecture rtl of Entity colmix is up to date.
64
Compiling vhdl file "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/sbox.vhdl" in Library work.
65
Architecture rtl of Entity sbox is up to date.
66
Compiling vhdl file "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/subsh.vhdl" in Library work.
67
Architecture rtl of Entity sboxshr is up to date.
68
Compiling vhdl file "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/keysched1.vhdl" in Library work.
69
Architecture rtl of Entity keysched1 is up to date.
70
Compiling vhdl file "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/addkey.vhdl" in Library work.
71
Architecture rtl of Entity addkey is up to date.
72
Compiling vhdl file "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/aes_top.vhdl" in Library work.
73
Architecture rtl of Entity aes_top is up to date.
74
 
75
=========================================================================
76
*                     Design Hierarchy Analysis                         *
77
=========================================================================
78
Analyzing hierarchy for entity  in library  (architecture ).
79
 
80
Analyzing hierarchy for entity  in library  (architecture ).
81
 
82
Analyzing hierarchy for entity  in library  (architecture ).
83
 
84
Analyzing hierarchy for entity  in library  (architecture ).
85
 
86
Analyzing hierarchy for entity  in library  (architecture ).
87
 
88
Analyzing hierarchy for entity  in library  (architecture ).
89
 
90
Analyzing hierarchy for entity  in library  (architecture ).
91
 
92
 
93
=========================================================================
94
*                            HDL Analysis                               *
95
=========================================================================
96
Analyzing Entity  in library  (Architecture ).
97
WARNING:Xst:753 - "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/aes_top.vhdl" line 192: Unconnected output port 'fc3' of component 'addkey'.
98
WARNING:Xst:753 - "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/aes_top.vhdl" line 192: Unconnected output port 'c0' of component 'addkey'.
99
WARNING:Xst:753 - "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/aes_top.vhdl" line 192: Unconnected output port 'c1' of component 'addkey'.
100
WARNING:Xst:753 - "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/aes_top.vhdl" line 192: Unconnected output port 'c2' of component 'addkey'.
101
WARNING:Xst:753 - "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/aes_top.vhdl" line 192: Unconnected output port 'c3' of component 'addkey'.
102
Entity  analyzed. Unit  generated.
103
 
104
Analyzing Entity  in library  (Architecture ).
105
Entity  analyzed. Unit  generated.
106
 
107
Analyzing Entity  in library  (Architecture ).
108
Entity  analyzed. Unit  generated.
109
 
110
Analyzing Entity  in library  (Architecture ).
111
Entity  analyzed. Unit  generated.
112
 
113
Analyzing Entity  in library  (Architecture ).
114
Entity  analyzed. Unit  generated.
115
 
116
Analyzing Entity  in library  (Architecture ).
117
Entity  analyzed. Unit  generated.
118
 
119
Analyzing Entity  in library  (Architecture ).
120
Entity  analyzed. Unit  generated.
121
 
122
 
123
=========================================================================
124
*                           HDL Synthesis                               *
125
=========================================================================
126
 
127
Performing bidirectional port resolution...
128
 
129
Synthesizing Unit .
130
    Related source file is "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/sbox.vhdl".
131
    Found 256x8-bit ROM for signal  created at line 106.
132
    Found 8-bit register for signal .
133
    Summary:
134
        inferred   1 ROM(s).
135
        inferred   8 D-type flip-flop(s).
136
Unit  synthesized.
137
 
138
 
139
Synthesizing Unit .
140
    Related source file is "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/mixcol.vhdl".
141
    Found 8-bit register for signal .
142
    Found 8-bit register for signal .
143
    Found 8-bit register for signal .
144
    Found 8-bit register for signal .
145
    Found 8-bit xor4 for signal  created at line 137.
146
    Found 8-bit xor4 for signal  created at line 138.
147
    Found 8-bit xor4 for signal  created at line 139.
148
    Found 8-bit xor4 for signal  created at line 140.
149
    Found 8-bit xor4 for signal .
150
    Summary:
151
        inferred  32 D-type flip-flop(s).
152
        inferred  40 Xor(s).
153
Unit  synthesized.
154
 
155
 
156
Synthesizing Unit .
157
    Related source file is "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/subsh.vhdl".
158
    Found 32-bit register for signal >.
159
    Found 32-bit register for signal >.
160
    Found 32-bit register for signal >.
161
    Found 32-bit register for signal >.
162
    Found 8-bit xor2 for signal _0$xor0000> created at line 118.
163
    Found 8-bit xor2 for signal _1$xor0000> created at line 119.
164
    Found 8-bit xor2 for signal _2$xor0000> created at line 120.
165
    Found 8-bit xor2 for signal _3$xor0000> created at line 121.
166
    Found 8-bit xor2 for signal _0$xor0000> created at line 118.
167
    Found 8-bit xor2 for signal _1$xor0000> created at line 119.
168
    Found 8-bit xor2 for signal _2$xor0000> created at line 120.
169
    Found 8-bit xor2 for signal _3$xor0000> created at line 121.
170
    Found 8-bit xor2 for signal _0$xor0000> created at line 118.
171
    Found 8-bit xor2 for signal _1$xor0000> created at line 119.
172
    Found 8-bit xor2 for signal _2$xor0000> created at line 120.
173
    Found 8-bit xor2 for signal _3$xor0000> created at line 121.
174
    Found 8-bit xor2 for signal _0$xor0000> created at line 118.
175
    Found 8-bit xor2 for signal _1$xor0000> created at line 119.
176
    Found 8-bit xor2 for signal _2$xor0000> created at line 120.
177
    Found 8-bit xor2 for signal _3$xor0000> created at line 121.
178
    Summary:
179
        inferred 128 D-type flip-flop(s).
180
Unit  synthesized.
181
 
182
 
183
Synthesizing Unit .
184
    Related source file is "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/colmix.vhdl".
185
    Found 32-bit register for signal >.
186
    Found 32-bit register for signal >.
187
    Found 32-bit register for signal >.
188
    Found 32-bit register for signal >.
189
    Summary:
190
        inferred 128 D-type flip-flop(s).
191
Unit  synthesized.
192
 
193
 
194
Synthesizing Unit .
195
    Related source file is "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/keysched1.vhdl".
196
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
197
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
198
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
199
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
200
    Found 32-bit register for signal .
201
    Found 8-bit xor2 for signal >.
202
    Found 32-bit register for signal .
203
    Found 32-bit register for signal .
204
    Found 32-bit register for signal .
205
    Found 8-bit xor2 for signal  created at line 138.
206
    Found 8-bit xor2 for signal  created at line 138.
207
    Found 8-bit xor2 for signal  created at line 138.
208
    Found 8-bit xor2 for signal  created at line 138.
209
    Found 8-bit xor2 for signal  created at line 139.
210
    Found 8-bit xor2 for signal  created at line 139.
211
    Found 8-bit xor2 for signal  created at line 139.
212
    Found 8-bit xor2 for signal  created at line 139.
213
    Found 8-bit xor3 for signal  created at line 140.
214
    Found 8-bit xor3 for signal  created at line 140.
215
    Found 8-bit xor2 for signal  created at line 140.
216
    Found 8-bit xor2 for signal  created at line 140.
217
    Found 8-bit register for signal .
218
    Summary:
219
        inferred 136 D-type flip-flop(s).
220
        inferred  16 Xor(s).
221
Unit  synthesized.
222
 
223
 
224
Synthesizing Unit .
225
    Related source file is "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/addkey.vhdl".
226
    Found 32-bit register for signal >.
227
    Found 32-bit register for signal >.
228
    Found 32-bit register for signal >.
229
    Found 32-bit register for signal >.
230
    Found 32-bit xor2 for signal >.
231
    Found 32-bit xor2 for signal >.
232
    Found 32-bit xor2 for signal >.
233
    Found 32-bit xor2 for signal >.
234
    Summary:
235
        inferred 128 D-type flip-flop(s).
236
Unit  synthesized.
237
 
238
 
239
Synthesizing Unit .
240
    Related source file is "/home/subhasis/programming/vhdl/aes/pipe/trunk/syn/Xilinx/run/../../../rtl/vhdl/aes_top.vhdl".
241
WARNING:Xst:1780 - Signal <3>> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
242
WARNING:Xst:1780 - Signal <2>> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
243
WARNING:Xst:1780 - Signal <1>> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
244
WARNING:Xst:1780 - Signal <0>> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
245
Unit  synthesized.
246
 
247
 
248
=========================================================================
249
HDL Synthesis Report
250
 
251
Macro Statistics
252
# ROMs                                                 : 204
253
 256x8-bit ROM                                         : 204
254
# Registers                                            : 1015
255
 8-bit register                                        : 1015
256
# Xors                                                 : 659
257
 8-bit xor2                                            : 457
258
 8-bit xor3                                            : 22
259
 8-bit xor4                                            : 180
260
 
261
=========================================================================
262
 
263
=========================================================================
264
*                       Advanced HDL Synthesis                          *
265
=========================================================================
266
 
267
WARNING:Xst:1290 - Hierarchical block  is unconnected in block .
268
   It will be removed from the design.
269
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
270
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
271
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
272
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
273
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
274
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
275
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
276
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
277
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
278
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
279
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
280
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
281
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
282
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
283
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
284
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
285
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
286
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
287
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
288
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
289
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
290
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
291
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
292
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
293
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
294
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
295
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
296
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
297
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
298
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
299
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
300
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
301
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
302
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
303
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
304
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
305
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
306
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
307
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
308
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
309
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
310
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
311
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
312
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
313
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
314
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
315
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
316
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
317
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
318
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
319
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
320
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
321
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
322
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
323
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
324
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
325
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
326
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
327
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
328
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
329
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
330
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
331
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
332
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
333
 
334
=========================================================================
335
Advanced HDL Synthesis Report
336
 
337
Macro Statistics
338
# ROMs                                                 : 204
339
 256x8-bit ROM                                         : 204
340
# Registers                                            : 8120
341
 Flip-Flops                                            : 8120
342
# Xors                                                 : 659
343
 8-bit xor2                                            : 457
344
 8-bit xor3                                            : 22
345
 8-bit xor4                                            : 180
346
 
347
=========================================================================
348
 
349
=========================================================================
350
*                         Low Level Synthesis                           *
351
=========================================================================
352
 
353
Optimizing unit  ...
354
 
355
Optimizing unit  ...
356
 
357
Optimizing unit  ...
358
 
359
Optimizing unit  ...
360
 
361
Optimizing unit  ...
362
 
363
Optimizing unit  ...
364
 
365
Optimizing unit  ...
366
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
367
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
368
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
369
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
370
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
371
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
372
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
373
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
374
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
375
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
376
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
377
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
378
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
379
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
380
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
381
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
382
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
383
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
384
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
385
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
386
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
387
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
388
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
389
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
390
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
391
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
392
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
393
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
394
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
395
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
396
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
397
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
398
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
399
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
400
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
401
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
402
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
403
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
404
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
405
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
406
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
407
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
408
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
409
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
410
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
411
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
412
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
413
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
414
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
415
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
416
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
417
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
418
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
419
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
420
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
421
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
422
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
423
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
424
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
425
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
426
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
427
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
428
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
429
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
430
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
431
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
432
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
433
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
434
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
435
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
436
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
437
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
438
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
439
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
440
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
441
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
442
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
443
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
444
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
445
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
446
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
447
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
448
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
449
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
450
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
451
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
452
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
453
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
454
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
455
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
456
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
457
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
458
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
459
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
460
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
461
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
462
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
463
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
464
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
465
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
466
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
467
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
468
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
469
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
470
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
471
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
472
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
473
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
474
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
475
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
476
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
477
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
478
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
479
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
480
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
481
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
482
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
483
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
484
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
485
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
486
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
487
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
488
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
489
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
490
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
491
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
492
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
493
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
494
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
495
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
496
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
497
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
498
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
499
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
500
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
501
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
502
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
503
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
504
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
505
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
506
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
507
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
508
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
509
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
510
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
511
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
512
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
513
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
514
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
515
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
516
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
517
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
518
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
519
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
520
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
521
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
522
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
523
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
524
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
525
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
526
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
527
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
528
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
529
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
530
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
531
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
532
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
533
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
534
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
535
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
536
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
537
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
538
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
539
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
540
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
541
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
542
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
543
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
544
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
545
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
546
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
547
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
548
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
549
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
550
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
551
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
552
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
553
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
554
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
555
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
556
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
557
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
558
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
559
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
560
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
561
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
562
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
563
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
564
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
565
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
566
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
567
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
568
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
569
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
570
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
571
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
572
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
573
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
574
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
575
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
576
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
577
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
578
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
579
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
580
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
581
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
582
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
583
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
584
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
585
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
586
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
587
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
588
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
589
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
590
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
591
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
592
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
593
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
594
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
595
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
596
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
597
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
598
 
599
Mapping all equations...
600
Building and optimizing final netlist ...
601
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following 15 FFs/Latches, which will be removed :               
602
 
603
Final Macro Processing ...
604
 
605
=========================================================================
606
Final Register Report
607
 
608
Macro Statistics
609
# Registers                                            : 7873
610
 Flip-Flops                                            : 7873
611
 
612
=========================================================================
613
 
614
=========================================================================
615
*                           Partition Report                             *
616
=========================================================================
617
 
618
Partition Implementation Status
619
-------------------------------
620
 
621
  No Partitions were found in this design.
622
 
623
-------------------------------
624
 
625
=========================================================================
626
*                            Final Report                               *
627
=========================================================================
628
Final Results
629
RTL Top Level Output File Name     : ../out/aes.ngr
630
Top Level Output File Name         : ../out/aes.ngc
631
Output Format                      : NGC
632
Optimization Goal                  : area
633
Keep Hierarchy                     : no
634
 
635
Design Statistics
636
# IOs                              : 386
637
 
638
Cell Usage :
639
# BELS                             : 16541
640
#      LUT2                        : 3052
641
#      LUT3                        : 3584
642
#      LUT4                        : 320
643
#      LUT5                        : 720
644
#      LUT6                        : 7048
645
#      MUXF7                       : 216
646
#      MUXF8                       : 1600
647
#      VCC                         : 1
648
# FlipFlops/Latches                : 7873
649
#      FDC                         : 7873
650
# Clock Buffers                    : 2
651
#      BUFGP                       : 2
652
# IO Buffers                       : 384
653
#      IBUF                        : 256
654
#      OBUF                        : 128
655
=========================================================================
656
 
657
Device utilization summary:
658
---------------------------
659
 
660
Selected Device : 5vlx50tff1136-1
661
 
662
 
663
Slice Logic Utilization:
664
 Number of Slice Registers:            7873  out of  28800    27%
665
 Number of Slice LUTs:                14724  out of  28800    51%
666
    Number used as Logic:             14724  out of  28800    51%
667
 
668
Slice Logic Distribution:
669
 Number of LUT Flip Flop pairs used:  15770
670
   Number with an unused Flip Flop:    7897  out of  15770    50%
671
   Number with an unused LUT:          1046  out of  15770     6%
672
   Number of fully used LUT-FF pairs:  6827  out of  15770    43%
673
   Number of unique control sets:         1
674
 
675
IO Utilization:
676
 Number of IOs:                         386
677
 Number of bonded IOBs:                 386  out of    480    80%
678
 
679
Specific Feature Utilization:
680
 Number of BUFG/BUFGCTRLs:                2  out of     32     6%
681
 
682
---------------------------
683
Partition Resource Summary:
684
---------------------------
685
 
686
  No Partitions were found in this design.
687
 
688
---------------------------
689
 
690
 
691
=========================================================================
692
TIMING REPORT
693
 
694
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
695
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
696
      GENERATED AFTER PLACE-and-ROUTE.
697
 
698
Clock Information:
699
------------------
700
-----------------------------------+------------------------+-------+
701
Clock Signal                       | Clock buffer(FF name)  | Load  |
702
-----------------------------------+------------------------+-------+
703
clk_i                              | BUFGP                  | 7873  |
704
-----------------------------------+------------------------+-------+
705
 
706
Asynchronous Control Signals Information:
707
----------------------------------------
708
-----------------------------------+------------------------+-------+
709
Control Signal                     | Buffer(FF name)        | Load  |
710
-----------------------------------+------------------------+-------+
711
rst_i                              | BUFGP                  | 7873  |
712
-----------------------------------+------------------------+-------+
713
 
714
Timing Summary:
715
---------------
716
Speed Grade: -1
717
 
718
   Minimum period: 2.614ns (Maximum Frequency: 382.604MHz)
719
   Minimum input arrival time before clock: 2.961ns
720
   Maximum output required time after clock: 3.259ns
721
   Maximum combinational path delay: No path found
722
 
723
Timing Detail:
724
--------------
725
All values displayed in nanoseconds (ns)
726
 
727
=========================================================================
728
Timing constraint: Default period analysis for Clock 'clk_i'
729
  Clock period: 2.614ns (frequency: 382.604MHz)
730
  Total number of paths / destination ports: 59472 / 7584
731
-------------------------------------------------------------------------
732
Delay:               2.614ns (Levels of Logic = 3)
733
  Source:            proc[8].mix/outrkey<3>_3_0 (FF)
734
  Destination:       add_f_1/step1/sub3/byteout_7 (FF)
735
  Source Clock:      clk_i rising
736
  Destination Clock: clk_i rising
737
 
738
  Data Path: proc[8].mix/outrkey<3>_3_0 to add_f_1/step1/sub3/byteout_7
739
                                Gate     Net
740
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
741
    ----------------------------------------  ------------
742
     FDC:C->Q             34   0.471   1.197  proc[8].mix/outrkey<3>_3_0 (proc[8].mix/outrkey<3>_3_0)
743
     LUT6:I0->O            1   0.094   0.576  add_f_1/step1/sub3_Mrom_byteout_rom00004 (add_f_1/step1/sub3_Mrom_byteout_rom00003)
744
     LUT3:I1->O            1   0.094   0.000  add_f_1/step1/sub3_Mrom_byteout_rom0000_f7_0 (add_f_1/step1/sub3_Mrom_byteout_rom0000_f71)
745
     MUXF8:I0->O           1   0.182   0.000  add_f_1/step1/sub3_Mrom_byteout_rom0000_f8 (add_f_1/step1/sub3/byteout_rom0000<0>)
746
     FDC:D                    -0.018          add_f_1/step1/sub3/byteout_0
747
    ----------------------------------------
748
    Total                      2.614ns (0.841ns logic, 1.773ns route)
749
                                       (32.2% logic, 67.8% route)
750
 
751
=========================================================================
752
Timing constraint: Default OFFSET IN BEFORE for Clock 'clk_i'
753
  Total number of paths / destination ports: 1440 / 288
754
-------------------------------------------------------------------------
755
Offset:              2.961ns (Levels of Logic = 4)
756
  Source:            keyblock_i<3><3><0> (PAD)
757
  Destination:       proc[0].add/step1/sub3/byteout_7 (FF)
758
  Destination Clock: clk_i rising
759
 
760
  Data Path: keyblock_i<3><3><0> to proc[0].add/step1/sub3/byteout_7
761
                                Gate     Net
762
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
763
    ----------------------------------------  ------------
764
     IBUF:I->O            34   0.818   1.197  keyblock_i_3__3__0_IBUF (keyblock_i_3__3__0_IBUF)
765
     LUT6:I0->O            1   0.094   0.576  proc[0].add/step1/sub3_Mrom_byteout_rom00004 (proc[0].add/step1/sub3_Mrom_byteout_rom00003)
766
     LUT3:I1->O            1   0.094   0.000  proc[0].add/step1/sub3_Mrom_byteout_rom0000_f7_0 (proc[0].add/step1/sub3_Mrom_byteout_rom0000_f71)
767
     MUXF8:I0->O           1   0.182   0.000  proc[0].add/step1/sub3_Mrom_byteout_rom0000_f8 (proc[0].add/step1/sub3/byteout_rom0000<0>)
768
     FDC:D                    -0.018          proc[0].add/step1/sub3/byteout_0
769
    ----------------------------------------
770
    Total                      2.961ns (1.188ns logic, 1.773ns route)
771
                                       (40.1% logic, 59.9% route)
772
 
773
=========================================================================
774
Timing constraint: Default OFFSET OUT AFTER for Clock 'clk_i'
775
  Total number of paths / destination ports: 128 / 128
776
-------------------------------------------------------------------------
777
Offset:              3.259ns (Levels of Logic = 1)
778
  Source:            add_f/dataout<0>_3_7 (FF)
779
  Destination:       ciphertext_o<0><3><7> (PAD)
780
  Source Clock:      clk_i rising
781
 
782
  Data Path: add_f/dataout<0>_3_7 to ciphertext_o<0><3><7>
783
                                Gate     Net
784
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
785
    ----------------------------------------  ------------
786
     FDC:C->Q              1   0.471   0.336  add_f/dataout<0>_3_7 (add_f/dataout<0>_3_7)
787
     OBUF:I->O                 2.452          ciphertext_o_0__3__7_OBUF (ciphertext_o<0><3><7>)
788
    ----------------------------------------
789
    Total                      3.259ns (2.923ns logic, 0.336ns route)
790
                                       (89.7% logic, 10.3% route)
791
 
792
=========================================================================
793
 
794
 
795
Total REAL time to Xst completion: 200.00 secs
796
Total CPU time to Xst completion: 197.33 secs
797
 
798
-->
799
 
800
 
801
Total memory usage is 242988 kilobytes
802
 
803
Number of errors   :    0 (   0 filtered)
804
Number of warnings :  310 (   0 filtered)
805
Number of infos    :    1 (   0 filtered)
806
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.