OpenCores
URL https://opencores.org/ocsvn/aes_pipe/aes_pipe/trunk

Subversion Repositories aes_pipe

[/] [aes_pipe/] [trunk/] [syn/] [Xilinx/] [log/] [aes.mrp] - Blame information for rev 10

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 subhasis25
Release 11.1 Map L.33 (lin)
2
Xilinx Mapping Report File for Design 'aes_top'
3
 
4
Design Information
5
------------------
6
Command Line   : map -w -timing -ol high -cm speed -o ../out/aes.ncd
7
../out/aes.ngd
8
Target Device  : xc5vlx50t
9
Target Package : ff1136
10
Target Speed   : -1
11
Mapper Version : virtex5 -- $Revision: 1.51 $
12
Mapped Date    : Thu Mar 25 14:16:56 2010
13
 
14
Design Summary
15
--------------
16
Number of errors:      0
17
Number of warnings:    0
18
Slice Logic Utilization:
19
  Number of Slice Registers:                 7,873 out of  28,800   27%
20
    Number used as Flip Flops:               7,873
21
  Number of Slice LUTs:                     14,724 out of  28,800   51%
22
    Number used as logic:                   14,724 out of  28,800   51%
23
      Number using O6 output only:          14,724
24
 
25
Slice Logic Distribution:
26
  Number of occupied Slices:                 4,656 out of   7,200   64%
27
    Number of occupied SLICEMs:                  0 out of   1,920    0%
28
  Number of LUT Flip Flop pairs used:       15,770
29
    Number with an unused Flip Flop:         7,897 out of  15,770   50%
30
    Number with an unused LUT:               1,046 out of  15,770    6%
31
    Number of fully used LUT-FF pairs:       6,827 out of  15,770   43%
32
    Number of unique control sets:               1
33
    Number of slice register sites lost
34
      to control set restrictions:               3 out of  28,800    1%
35
 
36
  A LUT Flip Flop pair for this architecture represents one LUT paired with
37
  one Flip Flop within a slice.  A control set is a unique combination of
38
  clock, reset, set, and enable signals for a registered element.
39
  The Slice Logic Distribution report is not meaningful if the design is
40
  over-mapped for a non-slice resource or if Placement fails.
41
  OVERMAPPING of BRAM resources should be ignored if the design is
42
  over-mapped for a non-BRAM resource or if placement fails.
43
 
44
IO Utilization:
45
  Number of bonded IOBs:                       386 out of     480   80%
46
 
47
Specific Feature Utilization:
48
  Number of BUFG/BUFGCTRLs:                      2 out of      32    6%
49
    Number used as BUFGs:                        2
50
 
51
Average Fanout of Non-Clock Nets:                5.14
52
 
53
Peak Memory Usage:  535 MB
54
Total REAL time to MAP completion:  13 mins 31 secs
55
Total CPU time to MAP completion:   13 mins
56
 
57
Table of Contents
58
-----------------
59
Section 1 - Errors
60
Section 2 - Warnings
61
Section 3 - Informational
62
Section 4 - Removed Logic Summary
63
Section 5 - Removed Logic
64
Section 6 - IOB Properties
65
Section 7 - RPMs
66
Section 8 - Guide Report
67
Section 9 - Area Group and Partition Summary
68
Section 10 - Modular Design Summary
69
Section 11 - Timing Report
70
Section 12 - Configuration String Information
71
Section 13 - Control Set Information
72
Section 14 - Utilization by Hierarchy
73
 
74
Section 1 - Errors
75
------------------
76
 
77
Section 2 - Warnings
78
--------------------
79
 
80
Section 3 - Informational
81
-------------------------
82
INFO:Map:220 - The command line option -timing is automatically supported for
83
   this architecture. Therefore, it is not necessary to specify this option.
84
INFO:MapLib:562 - No environment variables are currently set.
85
INFO:LIT:244 - All of the single ended outputs in this design are using slew
86
   rate limited output drivers. The delay on speed critical single ended outputs
87
   can be dramatically reduced by designating them as fast outputs.
88
INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range:
89
   0.000 to 85.000 Celsius)
90
INFO:Pack:1720 - Initializing voltage to 0.950 Volts. (default - Range: 0.950 to
91
   1.050 Volts)
92
INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
93
   (.mrp).
94
INFO:Pack:1650 - Map created a placed design.
95
 
96
Section 4 - Removed Logic Summary
97
---------------------------------
98
   1 block(s) optimized away
99
 
100
Section 5 - Removed Logic
101
-------------------------
102
 
103
Optimized Block(s):
104
TYPE            BLOCK
105
VCC             XST_VCC
106
 
107
Section 6 - IOB Properties
108
--------------------------
109
 
110
+---------------------------------------------------------------------------------------------------------------------------------------------------------+
111
| IOB Name                           | Type             | Direction | IO Standard          | Diff  | Drive    | Slew | Reg (s)      | Resistor | IOB      |
112
|                                    |                  |           |                      | Term  | Strength | Rate |              |          | Delay    |
113
+---------------------------------------------------------------------------------------------------------------------------------------------------------+
114
| ciphertext_o<0><0><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
115
| ciphertext_o<0><0><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
116
| ciphertext_o<0><0><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
117
| ciphertext_o<0><0><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
118
| ciphertext_o<0><0><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
119
| ciphertext_o<0><0><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
120
| ciphertext_o<0><0><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
121
| ciphertext_o<0><0><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
122
| ciphertext_o<0><1><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
123
| ciphertext_o<0><1><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
124
| ciphertext_o<0><1><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
125
| ciphertext_o<0><1><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
126
| ciphertext_o<0><1><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
127
| ciphertext_o<0><1><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
128
| ciphertext_o<0><1><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
129
| ciphertext_o<0><1><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
130
| ciphertext_o<0><2><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
131
| ciphertext_o<0><2><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
132
| ciphertext_o<0><2><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
133
| ciphertext_o<0><2><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
134
| ciphertext_o<0><2><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
135
| ciphertext_o<0><2><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
136
| ciphertext_o<0><2><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
137
| ciphertext_o<0><2><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
138
| ciphertext_o<0><3><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
139
| ciphertext_o<0><3><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
140
| ciphertext_o<0><3><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
141
| ciphertext_o<0><3><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
142
| ciphertext_o<0><3><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
143
| ciphertext_o<0><3><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
144
| ciphertext_o<0><3><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
145
| ciphertext_o<0><3><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
146
| ciphertext_o<1><0><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
147
| ciphertext_o<1><0><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
148
| ciphertext_o<1><0><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
149
| ciphertext_o<1><0><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
150
| ciphertext_o<1><0><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
151
| ciphertext_o<1><0><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
152
| ciphertext_o<1><0><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
153
| ciphertext_o<1><0><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
154
| ciphertext_o<1><1><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
155
| ciphertext_o<1><1><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
156
| ciphertext_o<1><1><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
157
| ciphertext_o<1><1><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
158
| ciphertext_o<1><1><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
159
| ciphertext_o<1><1><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
160
| ciphertext_o<1><1><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
161
| ciphertext_o<1><1><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
162
| ciphertext_o<1><2><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
163
| ciphertext_o<1><2><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
164
| ciphertext_o<1><2><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
165
| ciphertext_o<1><2><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
166
| ciphertext_o<1><2><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
167
| ciphertext_o<1><2><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
168
| ciphertext_o<1><2><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
169
| ciphertext_o<1><2><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
170
| ciphertext_o<1><3><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
171
| ciphertext_o<1><3><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
172
| ciphertext_o<1><3><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
173
| ciphertext_o<1><3><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
174
| ciphertext_o<1><3><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
175
| ciphertext_o<1><3><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
176
| ciphertext_o<1><3><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
177
| ciphertext_o<1><3><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
178
| ciphertext_o<2><0><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
179
| ciphertext_o<2><0><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
180
| ciphertext_o<2><0><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
181
| ciphertext_o<2><0><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
182
| ciphertext_o<2><0><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
183
| ciphertext_o<2><0><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
184
| ciphertext_o<2><0><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
185
| ciphertext_o<2><0><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
186
| ciphertext_o<2><1><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
187
| ciphertext_o<2><1><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
188
| ciphertext_o<2><1><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
189
| ciphertext_o<2><1><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
190
| ciphertext_o<2><1><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
191
| ciphertext_o<2><1><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
192
| ciphertext_o<2><1><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
193
| ciphertext_o<2><1><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
194
| ciphertext_o<2><2><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
195
| ciphertext_o<2><2><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
196
| ciphertext_o<2><2><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
197
| ciphertext_o<2><2><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
198
| ciphertext_o<2><2><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
199
| ciphertext_o<2><2><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
200
| ciphertext_o<2><2><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
201
| ciphertext_o<2><2><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
202
| ciphertext_o<2><3><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
203
| ciphertext_o<2><3><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
204
| ciphertext_o<2><3><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
205
| ciphertext_o<2><3><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
206
| ciphertext_o<2><3><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
207
| ciphertext_o<2><3><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
208
| ciphertext_o<2><3><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
209
| ciphertext_o<2><3><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
210
| ciphertext_o<3><0><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
211
| ciphertext_o<3><0><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
212
| ciphertext_o<3><0><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
213
| ciphertext_o<3><0><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
214
| ciphertext_o<3><0><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
215
| ciphertext_o<3><0><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
216
| ciphertext_o<3><0><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
217
| ciphertext_o<3><0><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
218
| ciphertext_o<3><1><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
219
| ciphertext_o<3><1><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
220
| ciphertext_o<3><1><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
221
| ciphertext_o<3><1><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
222
| ciphertext_o<3><1><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
223
| ciphertext_o<3><1><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
224
| ciphertext_o<3><1><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
225
| ciphertext_o<3><1><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
226
| ciphertext_o<3><2><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
227
| ciphertext_o<3><2><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
228
| ciphertext_o<3><2><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
229
| ciphertext_o<3><2><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
230
| ciphertext_o<3><2><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
231
| ciphertext_o<3><2><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
232
| ciphertext_o<3><2><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
233
| ciphertext_o<3><2><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
234
| ciphertext_o<3><3><0>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
235
| ciphertext_o<3><3><1>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
236
| ciphertext_o<3><3><2>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
237
| ciphertext_o<3><3><3>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
238
| ciphertext_o<3><3><4>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
239
| ciphertext_o<3><3><5>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
240
| ciphertext_o<3><3><6>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
241
| ciphertext_o<3><3><7>              | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
242
| clk_i                              | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
243
| keyblock_i<0><0><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
244
| keyblock_i<0><0><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
245
| keyblock_i<0><0><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
246
| keyblock_i<0><0><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
247
| keyblock_i<0><0><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
248
| keyblock_i<0><0><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
249
| keyblock_i<0><0><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
250
| keyblock_i<0><0><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
251
| keyblock_i<0><1><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
252
| keyblock_i<0><1><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
253
| keyblock_i<0><1><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
254
| keyblock_i<0><1><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
255
| keyblock_i<0><1><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
256
| keyblock_i<0><1><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
257
| keyblock_i<0><1><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
258
| keyblock_i<0><1><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
259
| keyblock_i<0><2><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
260
| keyblock_i<0><2><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
261
| keyblock_i<0><2><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
262
| keyblock_i<0><2><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
263
| keyblock_i<0><2><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
264
| keyblock_i<0><2><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
265
| keyblock_i<0><2><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
266
| keyblock_i<0><2><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
267
| keyblock_i<0><3><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
268
| keyblock_i<0><3><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
269
| keyblock_i<0><3><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
270
| keyblock_i<0><3><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
271
| keyblock_i<0><3><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
272
| keyblock_i<0><3><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
273
| keyblock_i<0><3><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
274
| keyblock_i<0><3><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
275
| keyblock_i<1><0><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
276
| keyblock_i<1><0><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
277
| keyblock_i<1><0><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
278
| keyblock_i<1><0><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
279
| keyblock_i<1><0><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
280
| keyblock_i<1><0><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
281
| keyblock_i<1><0><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
282
| keyblock_i<1><0><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
283
| keyblock_i<1><1><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
284
| keyblock_i<1><1><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
285
| keyblock_i<1><1><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
286
| keyblock_i<1><1><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
287
| keyblock_i<1><1><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
288
| keyblock_i<1><1><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
289
| keyblock_i<1><1><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
290
| keyblock_i<1><1><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
291
| keyblock_i<1><2><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
292
| keyblock_i<1><2><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
293
| keyblock_i<1><2><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
294
| keyblock_i<1><2><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
295
| keyblock_i<1><2><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
296
| keyblock_i<1><2><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
297
| keyblock_i<1><2><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
298
| keyblock_i<1><2><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
299
| keyblock_i<1><3><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
300
| keyblock_i<1><3><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
301
| keyblock_i<1><3><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
302
| keyblock_i<1><3><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
303
| keyblock_i<1><3><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
304
| keyblock_i<1><3><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
305
| keyblock_i<1><3><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
306
| keyblock_i<1><3><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
307
| keyblock_i<2><0><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
308
| keyblock_i<2><0><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
309
| keyblock_i<2><0><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
310
| keyblock_i<2><0><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
311
| keyblock_i<2><0><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
312
| keyblock_i<2><0><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
313
| keyblock_i<2><0><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
314
| keyblock_i<2><0><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
315
| keyblock_i<2><1><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
316
| keyblock_i<2><1><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
317
| keyblock_i<2><1><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
318
| keyblock_i<2><1><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
319
| keyblock_i<2><1><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
320
| keyblock_i<2><1><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
321
| keyblock_i<2><1><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
322
| keyblock_i<2><1><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
323
| keyblock_i<2><2><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
324
| keyblock_i<2><2><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
325
| keyblock_i<2><2><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
326
| keyblock_i<2><2><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
327
| keyblock_i<2><2><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
328
| keyblock_i<2><2><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
329
| keyblock_i<2><2><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
330
| keyblock_i<2><2><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
331
| keyblock_i<2><3><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
332
| keyblock_i<2><3><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
333
| keyblock_i<2><3><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
334
| keyblock_i<2><3><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
335
| keyblock_i<2><3><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
336
| keyblock_i<2><3><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
337
| keyblock_i<2><3><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
338
| keyblock_i<2><3><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
339
| keyblock_i<3><0><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
340
| keyblock_i<3><0><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
341
| keyblock_i<3><0><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
342
| keyblock_i<3><0><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
343
| keyblock_i<3><0><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
344
| keyblock_i<3><0><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
345
| keyblock_i<3><0><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
346
| keyblock_i<3><0><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
347
| keyblock_i<3><1><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
348
| keyblock_i<3><1><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
349
| keyblock_i<3><1><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
350
| keyblock_i<3><1><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
351
| keyblock_i<3><1><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
352
| keyblock_i<3><1><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
353
| keyblock_i<3><1><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
354
| keyblock_i<3><1><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
355
| keyblock_i<3><2><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
356
| keyblock_i<3><2><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
357
| keyblock_i<3><2><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
358
| keyblock_i<3><2><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
359
| keyblock_i<3><2><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
360
| keyblock_i<3><2><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
361
| keyblock_i<3><2><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
362
| keyblock_i<3><2><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
363
| keyblock_i<3><3><0>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
364
| keyblock_i<3><3><1>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
365
| keyblock_i<3><3><2>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
366
| keyblock_i<3><3><3>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
367
| keyblock_i<3><3><4>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
368
| keyblock_i<3><3><5>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
369
| keyblock_i<3><3><6>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
370
| keyblock_i<3><3><7>                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
371
| plaintext_i<0><0><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
372
| plaintext_i<0><0><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
373
| plaintext_i<0><0><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
374
| plaintext_i<0><0><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
375
| plaintext_i<0><0><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
376
| plaintext_i<0><0><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
377
| plaintext_i<0><0><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
378
| plaintext_i<0><0><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
379
| plaintext_i<0><1><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
380
| plaintext_i<0><1><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
381
| plaintext_i<0><1><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
382
| plaintext_i<0><1><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
383
| plaintext_i<0><1><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
384
| plaintext_i<0><1><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
385
| plaintext_i<0><1><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
386
| plaintext_i<0><1><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
387
| plaintext_i<0><2><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
388
| plaintext_i<0><2><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
389
| plaintext_i<0><2><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
390
| plaintext_i<0><2><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
391
| plaintext_i<0><2><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
392
| plaintext_i<0><2><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
393
| plaintext_i<0><2><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
394
| plaintext_i<0><2><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
395
| plaintext_i<0><3><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
396
| plaintext_i<0><3><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
397
| plaintext_i<0><3><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
398
| plaintext_i<0><3><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
399
| plaintext_i<0><3><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
400
| plaintext_i<0><3><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
401
| plaintext_i<0><3><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
402
| plaintext_i<0><3><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
403
| plaintext_i<1><0><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
404
| plaintext_i<1><0><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
405
| plaintext_i<1><0><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
406
| plaintext_i<1><0><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
407
| plaintext_i<1><0><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
408
| plaintext_i<1><0><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
409
| plaintext_i<1><0><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
410
| plaintext_i<1><0><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
411
| plaintext_i<1><1><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
412
| plaintext_i<1><1><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
413
| plaintext_i<1><1><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
414
| plaintext_i<1><1><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
415
| plaintext_i<1><1><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
416
| plaintext_i<1><1><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
417
| plaintext_i<1><1><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
418
| plaintext_i<1><1><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
419
| plaintext_i<1><2><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
420
| plaintext_i<1><2><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
421
| plaintext_i<1><2><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
422
| plaintext_i<1><2><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
423
| plaintext_i<1><2><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
424
| plaintext_i<1><2><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
425
| plaintext_i<1><2><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
426
| plaintext_i<1><2><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
427
| plaintext_i<1><3><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
428
| plaintext_i<1><3><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
429
| plaintext_i<1><3><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
430
| plaintext_i<1><3><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
431
| plaintext_i<1><3><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
432
| plaintext_i<1><3><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
433
| plaintext_i<1><3><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
434
| plaintext_i<1><3><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
435
| plaintext_i<2><0><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
436
| plaintext_i<2><0><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
437
| plaintext_i<2><0><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
438
| plaintext_i<2><0><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
439
| plaintext_i<2><0><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
440
| plaintext_i<2><0><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
441
| plaintext_i<2><0><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
442
| plaintext_i<2><0><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
443
| plaintext_i<2><1><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
444
| plaintext_i<2><1><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
445
| plaintext_i<2><1><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
446
| plaintext_i<2><1><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
447
| plaintext_i<2><1><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
448
| plaintext_i<2><1><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
449
| plaintext_i<2><1><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
450
| plaintext_i<2><1><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
451
| plaintext_i<2><2><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
452
| plaintext_i<2><2><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
453
| plaintext_i<2><2><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
454
| plaintext_i<2><2><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
455
| plaintext_i<2><2><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
456
| plaintext_i<2><2><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
457
| plaintext_i<2><2><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
458
| plaintext_i<2><2><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
459
| plaintext_i<2><3><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
460
| plaintext_i<2><3><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
461
| plaintext_i<2><3><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
462
| plaintext_i<2><3><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
463
| plaintext_i<2><3><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
464
| plaintext_i<2><3><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
465
| plaintext_i<2><3><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
466
| plaintext_i<2><3><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
467
| plaintext_i<3><0><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
468
| plaintext_i<3><0><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
469
| plaintext_i<3><0><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
470
| plaintext_i<3><0><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
471
| plaintext_i<3><0><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
472
| plaintext_i<3><0><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
473
| plaintext_i<3><0><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
474
| plaintext_i<3><0><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
475
| plaintext_i<3><1><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
476
| plaintext_i<3><1><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
477
| plaintext_i<3><1><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
478
| plaintext_i<3><1><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
479
| plaintext_i<3><1><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
480
| plaintext_i<3><1><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
481
| plaintext_i<3><1><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
482
| plaintext_i<3><1><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
483
| plaintext_i<3><2><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
484
| plaintext_i<3><2><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
485
| plaintext_i<3><2><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
486
| plaintext_i<3><2><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
487
| plaintext_i<3><2><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
488
| plaintext_i<3><2><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
489
| plaintext_i<3><2><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
490
| plaintext_i<3><2><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
491
| plaintext_i<3><3><0>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
492
| plaintext_i<3><3><1>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
493
| plaintext_i<3><3><2>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
494
| plaintext_i<3><3><3>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
495
| plaintext_i<3><3><4>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
496
| plaintext_i<3><3><5>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
497
| plaintext_i<3><3><6>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
498
| plaintext_i<3><3><7>               | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
499
| rst_i                              | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
500
+---------------------------------------------------------------------------------------------------------------------------------------------------------+
501
 
502
Section 7 - RPMs
503
----------------
504
 
505
Section 8 - Guide Report
506
------------------------
507
Guide not run on this design.
508
 
509
Section 9 - Area Group and Partition Summary
510
--------------------------------------------
511
 
512
Partition Implementation Status
513
-------------------------------
514
 
515
  No Partitions were found in this design.
516
 
517
-------------------------------
518
 
519
Area Group Information
520
----------------------
521
 
522
  No area groups were found in this design.
523
 
524
----------------------
525
 
526
Section 10 - Modular Design Summary
527
-----------------------------------
528
Modular Design not used for this design.
529
 
530
Section 11 - Timing Report
531
--------------------------
532
A logic-level (pre-route) timing report can be generated by using Xilinx static
533
timing analysis tools, Timing Analyzer (GUI) or TRCE (command line), with the
534
mapped NCD and PCF files. Please note that this timing report will be generated
535
using estimated delay information. For accurate numbers, please generate a
536
timing report with the post Place and Route NCD file.
537
 
538
For more information about the Timing Analyzer, consult the Xilinx Timing
539
Analyzer Reference Manual; for more information about TRCE, consult the Xilinx
540
Command Line Tools User Guide "TRACE" chapter.
541
 
542
Section 12 - Configuration String Details
543
-----------------------------------------
544
Use the "-detail" map option to print out Configuration Strings
545
 
546
Section 13 - Control Set Information
547
------------------------------------
548
Use the "-detail" map option to print out Control Set Information.
549
 
550
Section 14 - Utilization by Hierarchy
551
-------------------------------------
552
Use the "-detail" map option to print out the Utilization by Hierarchy section.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.