OpenCores
URL https://opencores.org/ocsvn/ag_6502/ag_6502/trunk

Subversion Repositories ag_6502

[/] [ag_6502/] [trunk/] [agat7/] [ag_main.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 olegodints
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company:   BMSTU
4
// Engineer:  Oleg Odintsov
5
// 
6
// Create Date:    15:09:47 01/19/2012 
7
// Design Name: 
8
// Module Name:    ag_main
9
// Project Name:    Agat Hardware Project
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
 
22 7 olegodints
module ROM2kx8(input CLK, input[10:0] AB, input CS, output[7:0] DO);
23 2 olegodints
        reg[7:0] mem[0:2047];
24 7 olegodints
        reg[7:0] R;
25
        assign DO = CS? R: 8'bZ;
26
        always @(posedge CLK) if (CS) R <= mem[AB];
27 2 olegodints
        initial begin
28
                `include "monitor7.v"
29
        end
30
endmodule
31
 
32
module ag_main(
33 7 olegodints
    input clk50x,
34 2 olegodints
         input[3:0] btns,
35 7 olegodints
         input[3:0] switches,
36 2 olegodints
         output[7:0] leds,
37
         output[3:0] controls,
38
         output[4:0] vga_bus,
39
         input[1:0] ps2_bus_in
40
    );
41
 
42
//      assign leds = 0;
43
//      assign controls = 0;
44
//      assign vga_bus = 0;
45
 
46 7 olegodints
        wire clk1, clk1x, clk10, clk50;
47
        reg turbo = 0;
48
        BUFG bg1(clk50, clk50x);
49 2 olegodints
        clk_div#5 cd5(clk50, clk10);
50 7 olegodints
        clk_div#10 cd10(clk10, clk1x);
51
        BUFGMUX bgm1(clk1, clk1x, clk10, turbo);
52
//      assign clk1 = turbo?clk10:clk1x;
53 2 olegodints
 
54
 
55
        wire clk_vram;
56
        wire[13:0] AB2;
57
        wire[15:0] DI2;
58
 
59
        wire [15:0] AB;  // address bus
60
        wire [7:0] DI;           // data in, read bus
61
        wire [7:0] DO;           // data out, write bus
62
        wire read;
63
        wire rom_cs, ram_cs;
64
        wire phi_1, phi_2;
65
 
66
        RAM32Kx8x16 base_ram(phi_2, AB[14:0], ram_cs, read, DI, DO,
67
                                                        clk_vram, AB2, 1, DI2);
68 7 olegodints
        ROM2kx8 rom1(phi_2, AB[10:0], rom_cs, DI);
69 2 olegodints
 
70
        wire [3:0] AB_HH = AB[15:12];
71
        wire [3:0] AB_HL = AB[11:8];
72
        wire [3:0] AB_LH = AB[7:4];
73
        wire [3:0] AB_LL = AB[3:0];
74
        wire [7:0] AB_H = AB[15:8];
75
        wire [7:0] AB_L = AB[7:0];
76
        wire AB_CXXX = (AB_HH == 4'hC);
77
        wire AB_FXXX = (AB_HH == 4'hF);
78
 
79
        wire AB_C0XX = AB_CXXX && !AB_HL;
80
 
81
        wire AB_C00X = AB_C0XX && (AB_LH == 4'h0);
82
        wire AB_C01X = AB_C0XX && (AB_LH == 4'h1);
83
        wire AB_C02X = AB_C0XX && (AB_LH == 4'h2);
84
        wire AB_C03X = AB_C0XX && (AB_LH == 4'h3);
85 7 olegodints
        wire AB_C04X = AB_C0XX && (AB_LH == 4'h4);
86
        wire AB_C05X = AB_C0XX && (AB_LH == 4'h5);
87 2 olegodints
        wire AB_C7XX = AB_CXXX && (AB_HL == 4'h7);
88 7 olegodints
 
89
        reg timer_ints = 0;
90 2 olegodints
 
91
        assign rom_cs = AB_FXXX && AB[11]; // F800-FFFF
92
        assign ram_cs = !AB[15];
93
 
94
 
95
        reg reset_auto = 1;
96
        wire reset;
97
        wire WE = ~read;                // write enable
98
        supply0 IRQ;            // interrupt request
99 7 olegodints
        wire NMI;               // non-maskable interrupt request
100 2 olegodints
        supply1 RDY;            // Ready signal. Pauses CPU when RDY=0 
101
        supply1 SO;                     // Set Overflow, not used.
102
        wire SYNC;
103
 
104
 
105 7 olegodints
        assign NMI = timer_ints & vga_bus[0];
106 2 olegodints
 
107
        reg[7:0] vmode = 0;
108
        wire[7:0] key_reg;
109
        wire key_rus;
110
        reg key_clear = 0;
111
        wire key_rst, key_pause;
112
 
113
        reg beep_reg = 0, tape_out_reg = 0;
114
 
115
 
116
        assign reset  = btns[0];
117
        assign leds = AB[11:4];
118
        assign controls = {1'b0, beep_reg ^ tape_out_reg, tape_out_reg, beep_reg};
119
 
120
        ag_video video(clk50, vmode, clk_vram, AB2, DI2, vga_bus);
121
 
122
 
123
        wire[1:0] ps2_bus;
124
 
125
        signal_filter sf1(clk1, ps2_bus_in[0], ps2_bus[0]);
126
        signal_filter sf2(clk1, ps2_bus_in[1], ps2_bus[1]);
127
 
128
 
129
        ag_keyb keyb(phi_2, ps2_bus, key_reg, key_clear, key_rus, key_rst, key_pause);
130
 
131
        assign DI = (AB_C00X && !WE)?key_reg:8'bZ;
132 7 olegodints
        wire reset_all = reset | reset_auto | key_rst;
133 2 olegodints
 
134
        always @(posedge phi_2) begin
135 7 olegodints
                turbo <= switches[0];
136 2 olegodints
                key_clear <= AB_C01X;
137 7 olegodints
                if (AB_C04X) timer_ints <= 1;
138
                else if (AB_C05X || reset_all) timer_ints <= 0;
139
 
140 2 olegodints
                if (AB_C02X) tape_out_reg <= ~tape_out_reg;
141
                if (AB_C03X) beep_reg <= ~beep_reg;
142
                if (AB_C7XX) vmode <= AB_L;
143
        end
144
        always @(posedge vga_bus[0]) begin
145
                reset_auto <= 0;
146
        end
147
 
148
        ag6502_ext_clock clk(clk50, clk1, phi_1, phi_2);
149
        ag6502 cpu(clk1, phi_1, phi_2, AB, read, DI, DO,
150 7 olegodints
                                        RDY & ~key_pause, ~reset_all, ~IRQ, ~NMI, SO, SYNC);
151 2 olegodints
 
152
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.