OpenCores
URL https://opencores.org/ocsvn/ag_6502/ag_6502/trunk

Subversion Repositories ag_6502

[/] [ag_6502/] [trunk/] [agat7/] [chip1.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 olegodints
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company:   BMSTU
4
// Engineer:  Oleg Odintsov
5
// 
6
// Create Date:    18:21:00 01/17/2012 
7
// Design Name: 
8
// Project Name:    Agat Hardware Project
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
 
22
 
23
 
24
module chip1(
25
         input clk,
26
    input b1,
27
    input b2,
28 7 olegodints
         input[3:0] SW,
29 2 olegodints
         input rot_a, rot_b, rot_center,
30
    output[7:0] led,
31
    output vga_red,
32
    output vga_green,
33
    output vga_blue,
34
    output vga_hsync,
35
    output vga_vsync,
36
         output [3:0]j4,
37
         input spi_miso, output spi_mosi, output spi_sck, output dac_cs, output dac_clr,
38
         output spi_rom_cs,
39
         output spi_amp_cs,
40
         output spi_adc_conv,
41
         output strataflash_oe,
42
         output strataflash_ce,
43
    output strataflash_we,
44
         output platformflash_oe,
45
         input  ps2_clk,
46
         input  ps2_data
47
    );
48
 
49
 
50
 
51
         // access to DAC
52
         assign spi_mosi = 0, spi_sck = 0, dac_cs = 0, dac_clr = 0;
53
         // block other devices to access to DAC
54
         assign spi_rom_cs = 1, spi_amp_cs = 1, spi_adc_conv = 0;
55
         assign strataflash_oe = 1, strataflash_ce = 1, strataflash_we = 1;
56
         assign platformflash_oe = 0;
57
 
58
         wire[4:0] vga_bus;
59
         assign {vga_red, vga_green, vga_blue, vga_hsync, vga_vsync} = vga_bus;
60
         wire[1:0] ps2_bus = {ps2_clk, ps2_data};
61
 
62
 
63
//       assign j4 = 0, vga_bus = 0;
64
 
65
         wire[3:0] btns = {0, 0, b2, b1};
66 7 olegodints
         ag_main agate(clk, btns, SW, led, j4, vga_bus, ps2_bus);
67 2 olegodints
 
68
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.