OpenCores
URL https://opencores.org/ocsvn/ag_6502/ag_6502/trunk

Subversion Repositories ag_6502

[/] [ag_6502/] [trunk/] [digger/] [ag_main.v] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 olegodints
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company:   BMSTU
4
// Engineer:  Oleg Odintsov
5
// 
6
// Create Date:    15:09:47 01/19/2012 
7
// Design Name: 
8
// Module Name:    ag_main
9
// Project Name:    Agat Hardware Project
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
 
22
module RAM2kx8(input CLK, input[10:0] AB, input CS, input READ, output[7:0] DO, input[7:0] DI);
23
        reg[7:0] mem[0:2047];
24
        reg[7:0] R;
25
        assign DO = CS? R: 8'bZ;
26
        initial begin
27
                `include "monitor7.v"
28
                mem['h7FC] = 8'h00;
29
                mem['h7FD] = 8'h56;
30
        end
31
        always @(posedge CLK) if (CS) if (READ) R <= mem[AB]; else mem[AB] <= DI;
32
endmodule
33
 
34
module RAM4kx8(input CLK, input[11:0] AB, input CS, input READ, output[7:0] DO, input[7:0] DI);
35
        reg[7:0] mem[0:4095];
36
        reg[7:0] R;
37
        assign DO = CS? R: 8'bZ;
38
        always @(posedge CLK) if (CS) if (READ) R <= mem[AB]; else mem[AB] <= DI;
39
endmodule
40
 
41
module RAM8kx8(input CLK, input[12:0] AB, input CS, input READ, output[7:0] DO, input[7:0] DI);
42
        reg[7:0] mem[0:8191];
43
        reg[7:0] R;
44
        assign DO = CS? R: 8'bZ;
45
        always @(posedge CLK) if (CS) if (READ) R <= mem[AB]; else mem[AB] <= DI;
46
endmodule
47
 
48
module ag_main(
49
    input clk50,
50
         input[4:0] btns,
51
         input[3:0] switches,
52
         output[7:0] leds,
53
         output[3:0] controls,
54
         output[4:0] vga_bus,
55
         input[1:0] ps2_bus_in,
56
         output clk_cpu
57
    );
58
 
59
        wire clk1, clk1x, clk10;
60
        reg turbo = 0;
61
        clk_div#5 cd5(clk50, clk10);
62
   clk_div#10 cd10(clk10, clk1x);
63
        assign clk1 = turbo?clk10:clk1x;
64
 
65
 
66
        wire clk_vram;
67
        wire[13:0] AB2;
68
        wire[15:0] DI2;
69
 
70
        wire [15:0] AB;  // address bus
71
        wire [7:0] DI;           // data in, read bus
72
        wire [7:0] DO;           // data out, write bus
73
        wire read;
74
        wire rom_cs, ram_cs, xram_cs;
75
        wire phi_1, phi_2;
76
 
77
        RAM32Kx8x16 base_ram(phi_2, AB[14:0], ram_cs, read, DI, DO,
78
                                                        clk_vram, AB2, 1, DI2);
79
        RAM2kx8 rom1(phi_2, AB[10:0], rom_cs, read, DI, DO);
80
        RAM8kx8 xram(phi_2, AB[12:0], xram_cs, read, DI, DO);
81
 
82
        wire [3:0] AB_HH = AB[15:12];
83
        wire [3:0] AB_HL = AB[11:8];
84
        wire [3:0] AB_LH = AB[7:4];
85
        wire [3:0] AB_LL = AB[3:0];
86
        wire [7:0] AB_H = AB[15:8];
87
        wire [7:0] AB_L = AB[7:0];
88
        wire AB_CXXX = (AB_HH == 4'hC);
89
        wire AB_FXXX = (AB_HH == 4'hF);
90
 
91
        wire AB_C0XX = AB_CXXX && !AB_HL;
92
 
93
        wire AB_C00X = AB_C0XX && (AB_LH == 4'h0);
94
        wire AB_C01X = AB_C0XX && (AB_LH == 4'h1);
95
        wire AB_C02X = AB_C0XX && (AB_LH == 4'h2);
96
        wire AB_C03X = AB_C0XX && (AB_LH == 4'h3);
97
        wire AB_C04X = AB_C0XX && (AB_LH == 4'h4);
98
        wire AB_C05X = AB_C0XX && (AB_LH == 4'h5);
99
        wire AB_C7XX = AB_CXXX && (AB_HL == 4'h7);
100
 
101
        reg timer_ints = 0;
102
 
103
        assign rom_cs = AB_FXXX && AB[11]; // F800-FFFF
104
        assign ram_cs = !AB[15];
105
        assign xram_cs = (AB_HH[3:1] == 3'b100);
106
 
107
 
108
        reg reset_auto = 1;
109
        wire reset;
110
        wire WE = ~read;                // write enable
111
        supply0 IRQ;            // interrupt request
112
        wire NMI;               // non-maskable interrupt request
113
        supply1 RDY;            // Ready signal. Pauses CPU when RDY=0 
114
        supply1 SO;                     // Set Overflow, not used.
115
        wire SYNC;
116
 
117
 
118
        assign NMI = timer_ints & vga_bus[0];
119
 
120
        reg[7:0] vmode = 0;
121
        wire[7:0] key_reg;
122
        reg[7:0] b_reg;
123
        reg[4:0] lb;
124
        wire key_rus;
125
        reg key_clear = 0;
126
        wire key_rst, key_pause;
127
 
128
        reg beep_reg = 0, tape_out_reg = 0;
129
 
130
 
131
        assign reset  = 0;//btns[0];
132
        assign leds = AB[11:4];
133
        assign controls = {1'b0, beep_reg ^ tape_out_reg, tape_out_reg, beep_reg};
134
 
135
        ag_video video(clk50, vmode, clk_vram, AB2, DI2, vga_bus);
136
 
137
 
138
        wire[1:0] ps2_bus;
139
 
140
        signal_filter sf1(clk1, ps2_bus_in[0], ps2_bus[0]);
141
        signal_filter sf2(clk1, ps2_bus_in[1], ps2_bus[1]);
142
 
143
 
144
        ag_keyb keyb(phi_2, ps2_bus, key_reg, key_clear, key_rus, key_rst, key_pause);
145
 
146
        assign DI = (AB_C00X && !WE)?b_reg?b_reg:key_reg:8'bZ;
147
        wire reset_all = reset | reset_auto | key_rst;
148
 
149
        always @(posedge phi_2) begin
150
                turbo <= switches[0];
151
                key_clear <= AB_C01X;
152
                if (AB_C01X) b_reg <= 0;
153
                else if (AB_C04X) timer_ints <= 1;
154
                else if (AB_C05X || reset_all) timer_ints <= 0;
155
 
156
                if (btns[2] & ~lb[2]) b_reg <= 8'h9A;
157
                else if (btns[0] & ~lb[0]) b_reg <= 8'h95;
158
                else if (btns[1] & ~lb[1]) b_reg <= 8'h99;
159
                else if (btns[3] & ~lb[3]) b_reg <= 8'h88;
160
                else if (btns[4] & ~lb[4]) b_reg <= 8'hC9;
161
                lb <= btns;
162
 
163
                if (AB_C02X) tape_out_reg <= ~tape_out_reg;
164
                if (AB_C03X) beep_reg <= ~beep_reg;
165
                if (AB_C7XX) vmode <= AB_L;
166
        end
167
        always @(posedge vga_bus[0]) begin
168
                reset_auto <= 0;
169
        end
170
 
171
        ag6502_ext_clock clk(clk50, clk1, phi_1, phi_2);
172
        ag6502 cpu(clk1, phi_1, phi_2, AB, read, DI, DO,
173
                                        RDY & ~key_pause, ~reset_all, ~IRQ, ~NMI, SO, SYNC);
174
 
175
        assign clk_cpu = clk1;
176
 
177
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.