OpenCores
URL https://opencores.org/ocsvn/ag_6502/ag_6502/trunk

Subversion Repositories ag_6502

[/] [ag_6502/] [trunk/] [digger/] [ag_main.v] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 olegodints
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company:   BMSTU
4
// Engineer:  Oleg Odintsov
5
// 
6
// Create Date:    15:09:47 01/19/2012 
7
// Design Name: 
8
// Module Name:    ag_main
9
// Project Name:    Agat Hardware Project
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
 
22
module RAM2kx8(input CLK, input[10:0] AB, input CS, input READ, output[7:0] DO, input[7:0] DI);
23
        reg[7:0] mem[0:2047];
24
        reg[7:0] R;
25
        assign DO = CS? R: 8'bZ;
26
        initial begin
27
                `include "monitor7.v"
28
                mem['h7FC] = 8'h00;
29
                mem['h7FD] = 8'h56;
30
        end
31
        always @(posedge CLK) if (CS) if (READ) R <= mem[AB]; else mem[AB] <= DI;
32
endmodule
33
 
34
module RAM4kx8(input CLK, input[11:0] AB, input CS, input READ, output[7:0] DO, input[7:0] DI);
35
        reg[7:0] mem[0:4095];
36
        reg[7:0] R;
37
        assign DO = CS? R: 8'bZ;
38
        always @(posedge CLK) if (CS) if (READ) R <= mem[AB]; else mem[AB] <= DI;
39
endmodule
40
 
41
module RAM8kx8(input CLK, input[12:0] AB, input CS, input READ, output[7:0] DO, input[7:0] DI);
42
        reg[7:0] mem[0:8191];
43
        reg[7:0] R;
44
        assign DO = CS? R: 8'bZ;
45
        always @(posedge CLK) if (CS) if (READ) R <= mem[AB]; else mem[AB] <= DI;
46
endmodule
47
 
48 8 olegodints
 
49 5 olegodints
module ag_main(
50 8 olegodints
    input clk50x,
51 5 olegodints
         input[4:0] btns,
52
         input[3:0] switches,
53
         output[7:0] leds,
54
         output[3:0] controls,
55
         output[4:0] vga_bus,
56
         input[1:0] ps2_bus_in,
57
         output clk_cpu
58
    );
59
 
60 8 olegodints
        wire clk1, clk1x, clk10, clk50;
61 5 olegodints
        reg turbo = 0;
62 8 olegodints
        BUFG bg1(clk50, clk50x);
63 5 olegodints
        clk_div#5 cd5(clk50, clk10);
64 8 olegodints
        clk_div#10 cd10(clk10, clk1x);
65
        BUFGMUX bgm1(clk1, clk1x, clk10, turbo);
66
//      assign clk1 = turbo?clk10:clk1x;
67 5 olegodints
 
68
 
69
        wire clk_vram;
70
        wire[13:0] AB2;
71
        wire[15:0] DI2;
72
 
73
        wire [15:0] AB;  // address bus
74
        wire [7:0] DI;           // data in, read bus
75
        wire [7:0] DO;           // data out, write bus
76
        wire read;
77
        wire rom_cs, ram_cs, xram_cs;
78
        wire phi_1, phi_2;
79
 
80
        RAM32Kx8x16 base_ram(phi_2, AB[14:0], ram_cs, read, DI, DO,
81
                                                        clk_vram, AB2, 1, DI2);
82
        RAM2kx8 rom1(phi_2, AB[10:0], rom_cs, read, DI, DO);
83
        RAM8kx8 xram(phi_2, AB[12:0], xram_cs, read, DI, DO);
84
 
85
        wire [3:0] AB_HH = AB[15:12];
86
        wire [3:0] AB_HL = AB[11:8];
87
        wire [3:0] AB_LH = AB[7:4];
88
        wire [3:0] AB_LL = AB[3:0];
89
        wire [7:0] AB_H = AB[15:8];
90
        wire [7:0] AB_L = AB[7:0];
91
        wire AB_CXXX = (AB_HH == 4'hC);
92
        wire AB_FXXX = (AB_HH == 4'hF);
93
 
94
        wire AB_C0XX = AB_CXXX && !AB_HL;
95
 
96
        wire AB_C00X = AB_C0XX && (AB_LH == 4'h0);
97
        wire AB_C01X = AB_C0XX && (AB_LH == 4'h1);
98
        wire AB_C02X = AB_C0XX && (AB_LH == 4'h2);
99
        wire AB_C03X = AB_C0XX && (AB_LH == 4'h3);
100
        wire AB_C04X = AB_C0XX && (AB_LH == 4'h4);
101
        wire AB_C05X = AB_C0XX && (AB_LH == 4'h5);
102
        wire AB_C7XX = AB_CXXX && (AB_HL == 4'h7);
103
 
104
        reg timer_ints = 0;
105
 
106
        assign rom_cs = AB_FXXX && AB[11]; // F800-FFFF
107
        assign ram_cs = !AB[15];
108
        assign xram_cs = (AB_HH[3:1] == 3'b100);
109
 
110
 
111
        reg reset_auto = 1;
112
        wire reset;
113
        wire WE = ~read;                // write enable
114
        supply0 IRQ;            // interrupt request
115
        wire NMI;               // non-maskable interrupt request
116
        supply1 RDY;            // Ready signal. Pauses CPU when RDY=0 
117
        supply1 SO;                     // Set Overflow, not used.
118
        wire SYNC;
119
 
120
 
121
        assign NMI = timer_ints & vga_bus[0];
122
 
123
        reg[7:0] vmode = 0;
124
        wire[7:0] key_reg;
125
        reg[7:0] b_reg;
126
        reg[4:0] lb;
127
        wire key_rus;
128
        reg key_clear = 0;
129
        wire key_rst, key_pause;
130
 
131
        reg beep_reg = 0, tape_out_reg = 0;
132
 
133
 
134
        assign reset  = 0;//btns[0];
135
        assign leds = AB[11:4];
136
        assign controls = {1'b0, beep_reg ^ tape_out_reg, tape_out_reg, beep_reg};
137
 
138
        ag_video video(clk50, vmode, clk_vram, AB2, DI2, vga_bus);
139
 
140
 
141
        wire[1:0] ps2_bus;
142
 
143
        signal_filter sf1(clk1, ps2_bus_in[0], ps2_bus[0]);
144
        signal_filter sf2(clk1, ps2_bus_in[1], ps2_bus[1]);
145
 
146
 
147
        ag_keyb keyb(phi_2, ps2_bus, key_reg, key_clear, key_rus, key_rst, key_pause);
148
 
149
        assign DI = (AB_C00X && !WE)?b_reg?b_reg:key_reg:8'bZ;
150
        wire reset_all = reset | reset_auto | key_rst;
151
 
152
        always @(posedge phi_2) begin
153
                turbo <= switches[0];
154
                key_clear <= AB_C01X;
155
                if (AB_C01X) b_reg <= 0;
156
                else if (AB_C04X) timer_ints <= 1;
157
                else if (AB_C05X || reset_all) timer_ints <= 0;
158
 
159
                if (btns[2] & ~lb[2]) b_reg <= 8'h9A;
160
                else if (btns[0] & ~lb[0]) b_reg <= 8'h95;
161
                else if (btns[1] & ~lb[1]) b_reg <= 8'h99;
162
                else if (btns[3] & ~lb[3]) b_reg <= 8'h88;
163
                else if (btns[4] & ~lb[4]) b_reg <= 8'hC9;
164
                lb <= btns;
165
 
166
                if (AB_C02X) tape_out_reg <= ~tape_out_reg;
167
                if (AB_C03X) beep_reg <= ~beep_reg;
168
                if (AB_C7XX) vmode <= AB_L;
169
        end
170
        always @(posedge vga_bus[0]) begin
171
                reset_auto <= 0;
172
        end
173
 
174
        ag6502_ext_clock clk(clk50, clk1, phi_1, phi_2);
175
        ag6502 cpu(clk1, phi_1, phi_2, AB, read, DI, DO,
176
                                        RDY & ~key_pause, ~reset_all, ~IRQ, ~NMI, SO, SYNC);
177
 
178
        assign clk_cpu = clk1;
179
 
180
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.