OpenCores
URL https://opencores.org/ocsvn/ahb2wishbone/ahb2wishbone/trunk

Subversion Repositories ahb2wishbone

[/] [ahb2wishbone/] [trunk/] [sim/] [modelsim.ini] - Blame information for rev 10

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 toomuch
; Copyright 2006 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
work = ../lib
11
std = $MODEL_TECH/../std
12
ieee = $MODEL_TECH/../ieee
13
verilog = $MODEL_TECH/../verilog
14
vital2000 = $MODEL_TECH/../vital2000
15
std_developerskit = $MODEL_TECH/../std_developerskit
16
synopsys = $MODEL_TECH/../synopsys
17
modelsim_lib = $MODEL_TECH/../modelsim_lib
18
sv_std = $MODEL_TECH/../sv_std
19
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
20
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
21
 
22
[vcom]
23
; VHDL93 variable selects language version as the default.
24
; Default is VHDL-2002.
25
; Value of 0 or 1987 for VHDL-1987.
26
; Value of 1 or 1993 for VHDL-1993.
27
; Default or value of 2 or 2002 for VHDL-2002.
28
VHDL93 = 2002
29
 
30
; Show source line containing error. Default is off.
31
; Show_source = 1
32
 
33
; Turn off unbound-component warnings. Default is on.
34
; Show_Warning1 = 0
35
 
36
 
37
; Turn off process-without-a-wait-statement warnings. Default is on.
38
; Show_Warning2 = 0
39
 
40
; Turn off null-range warnings. Default is on.
41
; Show_Warning3 = 0
42
 
43
; Turn off no-space-in-time-literal warnings. Default is on.
44
; Show_Warning4 = 0
45
 
46
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
47
; Show_Warning5 = 0
48
 
49
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
50
; Optimize_1164 = 0
51
 
52
; Turn on resolving of ambiguous function overloading in favor of the
53
; "explicit" function declaration (not the one automatically created by
54
; the compiler for each type declaration). Default is off.
55
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
56
; will match the behavior of synthesis tools.
57
Explicit = 1
58
 
59
; Turn off acceleration of the VITAL packages. Default is to accelerate.
60
; NoVital = 1
61
 
62
; Turn off VITAL compliance checking. Default is checking on.
63
; NoVitalCheck = 1
64
 
65
; Ignore VITAL compliance checking errors. Default is to not ignore.
66
; IgnoreVitalErrors = 1
67
 
68
; Turn off VITAL compliance checking warnings. Default is to show warnings.
69
; Show_VitalChecksWarnings = 0
70
 
71
; Turn off PSL assertion warning messages. Default is to show warnings.
72
; Show_PslChecksWarnings = 0
73
 
74
; Enable parsing of embedded PSL assertions. Default is enabled.
75
; EmbeddedPsl = 0
76
 
77
; Keep silent about case statement static warnings.
78
; Default is to give a warning.
79
; NoCaseStaticError = 1
80
 
81
; Keep silent about warnings caused by aggregates that are not locally static.
82
; Default is to give a warning.
83
; NoOthersStaticError = 1
84
 
85
; Treat as errors:
86
;   case statement static warnings
87
;   warnings caused by aggregates that are not locally static
88
; Overrides NoCaseStaticError, NoOthersStaticError settings.
89
; PedanticErrors = 1
90
 
91
; Turn off inclusion of debugging info within design units.
92
; Default is to include debugging info.
93
; NoDebug = 1
94
 
95
; Turn off "Loading..." messages. Default is messages on.
96
; Quiet = 1
97
 
98
; Turn on some limited synthesis rule compliance checking. Checks only:
99
;    -- signals used (read) by a process must be in the sensitivity list
100
; CheckSynthesis = 1
101
 
102
; Activate optimizations on expressions that do not involve signals,
103
; waits, or function/procedure/task invocations. Default is off.
104
; ScalarOpts = 1
105
 
106
; Turns on lint-style checking.
107
; Show_Lint = 1
108
 
109
; Require the user to specify a configuration for all bindings,
110
; and do not generate a compile time default binding for the
111
; component. This will result in an elaboration error of
112
; 'component not bound' if the user fails to do so. Avoids the rare
113
; issue of a false dependency upon the unused default binding.
114
; RequireConfigForAllDefaultBinding = 1
115
 
116
; Perform default binding at compile time.
117
; Default is to do default binding at load time.
118
; BindAtCompile=1;
119
 
120
; Inhibit range checking on subscripts of arrays. Range checking on
121
; scalars defined with subtypes is inhibited by default.
122
; NoIndexCheck = 1
123
 
124
; Inhibit range checks on all (implicit and explicit) assignments to
125
; scalar objects defined with subtypes.
126
; NoRangeCheck = 1
127
 
128
; Run the 0in tools from within the simulator.
129
; Default value set to 0. Please set it to 1 to invoke 0in.
130
; VcomZeroIn = 1
131
 
132
; Set the options to be passed to the 0in tools.
133
; Default value set to "". Please set it to appropriate options needed.
134
; VcomZeroInOptions = ""
135
 
136
; Turn off code coverage in VHDL subprograms. Default is on.
137
; CoverageNoSub = 0
138
 
139
; Automatically exclude VHDL case statement default branches.
140
; Default is to not exclude.
141
; CoverExcludeDefault = 1
142
 
143
; Turn on code coverage in VHDL generate blocks. Default is off.
144
; CoverGenerate = 1
145
 
146
; Use this directory for compiler temporary files instead of "work/_temp"
147
; CompilerTempDir = /tmp
148
 
149
[vlog]
150
 
151
; Turn off inclusion of debugging info within design units.
152
; Default is to include debugging info.
153
; NoDebug = 1
154
 
155
; Turn on `protect compiler directive processing.
156
; Default is to ignore `protect directives.
157
; Protect = 1
158
 
159
; Turn off "Loading..." messages. Default is messages on.
160
; Quiet = 1
161
 
162
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
163
; Default is off.
164
; Hazard = 1
165
 
166
; Turn on converting regular Verilog identifiers to uppercase. Allows case
167
; insensitivity for module names. Default is no conversion.
168
; UpCase = 1
169
 
170
; Activate optimizations on expressions that do not involve signals,
171
; waits, or function/procedure/task invocations. Default is off.
172
; ScalarOpts = 1
173
 
174
; Turns on lint-style checking.
175
; Show_Lint = 1
176
 
177
; Show source line containing error. Default is off.
178
; Show_source = 1
179
 
180
; Turn on bad option warning. Default is off.
181
; Show_BadOptionWarning = 1
182
 
183
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
184
vlog95compat = 0
185
 
186
; Turn off PSL warning messages. Default is to show warnings.
187
; Show_PslChecksWarnings = 0
188
 
189
; Enable parsing of embedded PSL assertions. Default is enabled.
190
; EmbeddedPsl = 0
191
 
192
; Set the threshold for automatically identifying sparse Verilog memories.
193
; A memory with depth equal to or more than the sparse memory threshold gets
194
; marked as sparse automatically, unless specified otherwise in source code.
195
; The default is 0 (i.e. no memory is automatically given sparse status)
196
; SparseMemThreshold = 1048576
197
 
198
; Set the maximum number of iterations permitted for a generate loop.
199
; Restricting this permits the implementation to recognize infinite
200
; generate loops.
201
; GenerateLoopIterationMax = 100000
202
 
203
; Set the maximum depth permitted for a recursive generate instantiation.
204
; Restricting this permits the implementation to recognize infinite
205
; recursions.
206
; GenerateRecursionDepthMax = 200
207
 
208
; Run the 0in tools from within the simulator.
209
; Default value set to 0. Please set it to 1 to invoke 0in.
210
; VlogZeroIn = 1
211
 
212
; Set the options to be passed to the 0in tools.
213
; Default value set to "". Please set it to appropriate options needed.
214
; VlogZeroInOptions = ""
215
 
216
; Run the 0in tools from within the simulator.
217
; Default value set to 0. Please set it to 1 to invoke 0in.
218
; VoptZeroIn = 1
219
 
220
; Set the options to be passed to the 0in tools.
221
; Default value set to "". Please set it to appropriate options needed.
222
; VoptZeroInOptions = ""
223
 
224
; Set the option to treat all files specified in a vlog invocation as a
225
; single compilation unit. The default value is set to 0 which will treat
226
; each file as a separate compilation unit as specified in the P1800 draft standard.
227
; MultiFileCompilationUnit = 1
228
 
229
; Automatically exclude Verilog case statement default branches.
230
; Default is to not exclude.
231
; CoverExcludeDefault = 1
232
 
233
; Turn on code coverage in VLOG generate blocks. Default is off.
234
; CoverGenerate = 1
235
 
236
; Specify the override for the default value of "cross_num_print_missing"
237
; option for the Cross in Covergroups. If not specified then LRM default
238
; value of 0 (zero) is used. This is a compile time option.
239
; SVCrossNumPrintMissingDefault = 0
240
 
241
; Setting following to 1 would cause creation of variables which
242
; would represent the value of Coverpoint expressions. This is used
243
; in conjunction with "SVCoverpointExprVariablePrefix" option
244
; in the modelsim.ini
245
; EnableSVCoverpointExprVariable = 0
246
 
247
; Specify the override for the prefix used in forming the variable names
248
; which represent the Coverpoint expressions. This is used in conjunction with
249
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
250
; The default prefix is "expr".
251
; The variable name is
252
;    variable name => _
253
; SVCoverpointExprVariablePrefix = expr
254
 
255
[sccom]
256
; Enable use of SCV include files and library.  Default is off.
257
; UseScv = 1
258
 
259
; Add C++ compiler options to the sccom command line by using this variable.
260
; CppOptions = -g
261
 
262
; Use custom C++ compiler located at this path rather than the default path.
263
; The path should point directly at a compiler executable.
264
; CppPath = /usr/bin/g++
265
 
266
; Enable verbose messages from sccom.  Default is off.
267
; SccomVerbose = 1
268
 
269
; sccom logfile.  Default is no logfile.
270
; SccomLogfile = sccom.log
271
 
272
; Enable use of SC_MS include files and library.  Default is off.
273
; UseScMs = 1
274
 
275
[vsim]
276
 
277
; vopt flow
278
; Set to turn on automatic optimization of a design.
279
; Default is on
280
VoptFlow = 1
281
 
282
; vopt automatic SDF
283
; If automatic design optimization is on, enables automatic compilation
284
; of SDF files.
285
; Default is on, uncomment to turn off.
286
; VoptAutoSDFCompile = 0
287
 
288
; Simulator resolution
289
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
290
Resolution = ns
291
 
292
; User time unit for run commands
293
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
294
; unit specified for Resolution. For example, if Resolution is 100ps,
295
; then UserTimeUnit defaults to ps.
296
; Should generally be set to default.
297
UserTimeUnit = default
298
 
299
; Default run length
300
RunLength = 100
301
 
302
; Maximum iterations that can be run without advancing simulation time
303
IterationLimit = 5000
304
 
305
; Control PSL and Verilog Assume directives during simulation
306
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
307
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
308
; SimulateAssumeDirectives = 1
309
 
310
; Control the simulation of PSL and SVA
311
; These switches can be overridden by the vsim command line switches:
312
;    -psl, -nopsl, -sva, -nosva.
313
; Set SimulatePSL = 0 to disable PSL simulation
314
; Set SimulatePSL = 1 to enable PSL simulation (default)
315
; SimulatePSL = 1
316
; Set SimulateSVA = 0 to disable SVA simulation
317
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
318
; SimulateSVA = 1
319
 
320
; Directives to license manager can be set either as single value or as
321
; space separated multi-values:
322
; vhdl          Immediately reserve a VHDL license
323
; vlog          Immediately reserve a Verilog license
324
; plus          Immediately reserve a VHDL and Verilog license
325
; nomgc         Do not look for Mentor Graphics Licenses
326
; nomti         Do not look for Model Technology Licenses
327
; noqueue       Do not wait in the license queue when a license is not available
328
; viewsim       Try for viewer license but accept simulator license(s) instead
329
;               of queuing for viewer license (PE ONLY)
330
; noviewer      Disable checkout of msimviewer and vsim-viewer license
331
;               features (PE ONLY)
332
; noslvhdl      Disable checkout of qhsimvh and vsim license features
333
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
334
; nomix         Disable checkout of msimhdlmix and hdlmix license features
335
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
336
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
337
;               features
338
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
339
;               hdlmix license features
340
; Single value:
341
; License = plus
342
; Multi-value:
343
; License = noqueue plus
344
 
345
; Stop the simulator after a VHDL/Verilog immediate assertion message
346
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
347
BreakOnAssertion = 3
348
 
349
; VHDL assertion Message Format
350
; %S - Severity Level
351
; %R - Report Message
352
; %T - Time of assertion
353
; %D - Delta
354
; %I - Instance or Region pathname (if available)
355
; %i - Instance pathname with process
356
; %O - Process name
357
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
358
; %P - Instance or Region path without leaf process
359
; %F - File
360
; %L - Line number of assertion or, if assertion is in a subprogram, line
361
;      from which the call is made
362
; %% - Print '%' character
363
; If specific format for assertion level is defined, use its format.
364
; If specific format is not defined for assertion level:
365
; - and if failure occurs during elaboration, use AssertionFormatBreakLine;
366
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
367
;   level), use AssertionFormatBreak;
368
; - otherwise, use AssertionFormat.
369
; AssertionFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
370
; AssertionFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
371
; AssertionFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
372
; AssertionFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
373
; AssertionFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
374
; AssertionFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
375
; AssertionFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
376
; AssertionFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
377
 
378
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
379
; AssertFile = assert.log
380
 
381
 
382
; Simulation Breakpoint messages
383
; This flag controls the display of function names when reporting the location
384
; where the simulator stops do to a breakpoint or fatal error.
385
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
386
; Example wo/function name: # Break at counter.vhd line 44
387
ShowFunctions = 1
388
 
389
 
390
; Default radix for all windows and commands.
391
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
392
DefaultRadix = symbolic
393
 
394
; VSIM Startup command
395
; Startup = do startup.do
396
 
397
; File for saving command transcript
398
TranscriptFile = transcript
399
 
400
; File for saving command history
401
; CommandHistory = cmdhist.log
402
 
403
; Specify whether paths in simulator commands should be described
404
; in VHDL or Verilog format.
405
; For VHDL, PathSeparator = /
406
; For Verilog, PathSeparator = .
407
; Must not be the same character as DatasetSeparator.
408
PathSeparator = /
409
 
410
; Specify the dataset separator for fully rooted contexts.
411
; The default is ':'. For example: sim:/top
412
; Must not be the same character as PathSeparator.
413
DatasetSeparator = :
414
 
415
; Specify a unique path separator for the Signal Spy set of functions.
416
; The default will be to use the PathSeparator variable.
417
; Must not be the same character as DatasetSeparator.
418
; SignalSpyPathSeparator = /
419
 
420
; Disable VHDL assertion messages
421
; IgnoreNote = 1
422
; IgnoreWarning = 1
423
; IgnoreError = 1
424
; IgnoreFailure = 1
425
 
426
; Disable System Verilog assertion messages
427
; Info and Warning are disabled by default
428
; IgnoreSVAInfo = 0
429
; IgnoreSVAWarning = 0
430
; IgnoreSVAError = 1
431
; IgnoreSVAFatal = 1
432
 
433
; Default force kind. May be freeze, drive, deposit, or default
434
; or in other terms, fixed, wired, or charged.
435
; A value of "default" will use the signal kind to determine the
436
; force kind, drive for resolved signals, freeze for unresolved signals
437
; DefaultForceKind = freeze
438
 
439
; If zero, open files when elaborated; otherwise, open files on
440
; first read or write.  Default is 0.
441
; DelayFileOpen = 1
442
 
443
; Control VHDL files opened for write.
444
;   0 = Buffered, 1 = Unbuffered
445
UnbufferedOutput = 0
446
 
447
; Control the number of VHDL files open concurrently.
448
; This number should always be less than the current ulimit
449
; setting for max file descriptors.
450
;   0 = unlimited
451
ConcurrentFileLimit = 40
452
 
453
; Control the number of hierarchical regions displayed as
454
; part of a signal name shown in the Wave window.
455
; A value of zero tells VSIM to display the full name.
456
; The default is 0.
457
; WaveSignalNameWidth = 0
458
 
459
; Turn off warnings when changing VHDL constants and generics
460
; Default is 1 to generate warning messages
461
; WarnConstantChange = 0
462
 
463
; Turn off warnings from the std_logic_arith, std_logic_unsigned
464
; and std_logic_signed packages.
465
; StdArithNoWarnings = 1
466
 
467
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
468
; NumericStdNoWarnings = 1
469
 
470
; Control the format of the (VHDL) FOR generate statement label
471
; for each iteration.  Do not quote it.
472
; The format string here must contain the conversion codes %s and %d,
473
; in that order, and no other conversion codes.  The %s represents
474
; the generate_label; the %d represents the generate parameter value
475
; at a particular generate iteration (this is the position number if
476
; the generate parameter is of an enumeration type).  Embedded whitespace
477
; is allowed (but discouraged); leading and trailing whitespace is ignored.
478
; Application of the format must result in a unique scope name over all
479
; such names in the design so that name lookup can function properly.
480
; GenerateFormat = %s__%d
481
 
482
; Specify whether checkpoint files should be compressed.
483
; The default is 1 (compressed).
484
; CheckpointCompressMode = 0
485
 
486
; Specify whether to enable SystemVerilog DPI out-of-the-blue call.
487
; Out-of-the-blue call refers to a SystemVerilog export function call
488
; directly from a C function that don't have the proper context setup
489
; as done in DPI-C import C functions. When this is enabled, one can
490
; call a DPI export function (but not task) from any C code.
491
; The default is 0 (disabled).
492
; DpiOutOfTheBlue = 1
493
 
494
; List of dynamically loaded objects for Verilog PLI applications
495
; Veriuser = veriuser.sl
496
 
497
; Specify default options for the restart command. Options can be one
498
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
499
; DefaultRestartOptions = -force
500
 
501
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
502
; (> 500 megabyte memory footprint). Default is disabled.
503
; Specify number of megabytes to lock.
504
; LockedMemory = 1000
505
 
506
; HP-UX 11.00 ONLY - Use /usr/lib/libCsup_v2.sl for shared object loading.
507
; This is necessary when C++ files have been compiled with aCC's -AA option.
508
; The default behavior is to use /usr/lib/libCsup.sl.
509
; UseCsupV2 = 1
510
 
511
; Turn on (1) or off (0) WLF file compression.
512
; The default is 1 (compress WLF file).
513
; WLFCompress = 0
514
 
515
; Specify whether to save all design hierarchy (1) in the WLF file
516
; or only regions containing logged signals (0).
517
; The default is 0 (save only regions with logged signals).
518
; WLFSaveAllRegions = 1
519
 
520
; WLF file time limit.  Limit WLF file by time, as closely as possible,
521
; to the specified amount of simulation time.  When the limit is exceeded
522
; the earliest times get truncated from the file.
523
; If both time and size limits are specified the most restrictive is used.
524
; UserTimeUnits are used if time units are not specified.
525
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
526
; WLFTimeLimit = 0
527
 
528
; WLF file size limit.  Limit WLF file size, as closely as possible,
529
; to the specified number of megabytes.  If both time and size limits
530
; are specified then the most restrictive is used.
531
; The default is 0 (no limit).
532
; WLFSizeLimit = 1000
533
 
534
; Specify whether or not a WLF file should be deleted when the
535
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
536
; The default is 0 (do not delete WLF file when simulation ends).
537
; WLFDeleteOnQuit = 1
538
 
539
; Specify whether or not a WLF file should be optimized during
540
; simulation.  If set to 0, the WLF file will not be optimized.
541
; The default is 1, optimize the WLF file.
542
; WLFOptimize = 0
543
 
544
; Specify the name of the WLF file.
545
; The default is vsim.wlf
546
; WLFFilename = vsim.wlf
547
 
548
; WLF reader cache size limit.  Specifies the internal WLF file cache size,
549
; in megabytes, for EACH open WLF file.  A value of 0 turns off the
550
; WLF cache.
551
; The default setting is enabled to 256M per open WLF file.
552
; WLFCacheSize = 1000
553
 
554
; Specify the WLF file event collapse mode.
555
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
556
; 1 = Only record values of logged objects at the end of a simulator iteration.
557
;     (same as -wlfcollapsedelta)
558
; 2 = Only record values of logged objects at the end of a simulator time step.
559
;     (same as -wlfcollapsetime)
560
; The default is 1.
561
; WLFCollapseMode = 0
562
 
563
; Turn on/off undebuggable SystemC type warnings. Default is on.
564
; ShowUndebuggableScTypeWarning = 0
565
 
566
; Turn on/off unassociated SystemC name warnings. Default is off.
567
; ShowUnassociatedScNameWarning = 1
568
 
569
; Set SystemC default time unit.
570
; Set to fs, ps, ns, us, ms, or sec with optional
571
; prefix of 1, 10, or 100.  The default is 1 ns.
572
; The ScTimeUnit value is honored if it is coarser than Resolution.
573
; If ScTimeUnit is finer than Resolution, it is set to the value
574
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
575
; then the default time unit will be 1 ns.  However if Resolution
576
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
577
ScTimeUnit = ns
578
 
579
; Set the SCV relationship name that will be used to identify phase
580
; relations.  If the name given to a transactor relation matches this
581
; name, the transactions involved will be treated as phase transactions
582
ScvPhaseRelationName = mti_phase
583
 
584
 
585
; Do not exit when executing sc_stop().
586
; If this is enabled, the control will be returned to the user before exiting
587
; the simulation. This can make some cleanup tasks easier before kernel exits.
588
; The default is off.
589
; NoExitOnScStop = 1
590
 
591
; Run simulator in assertion debug mode. Default is off.
592
; AssertionDebug = 1
593
 
594
; Turn on/off PSL/SVA concurrent assertion pass enable. Default is on.
595
; AssertionPassEnable = 0
596
 
597
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
598
; AssertionFailEnable = 0
599
 
600
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
601
; Any positive integer, -1 for infinity.
602
; AssertionPassLimit = 1
603
 
604
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
605
; Any positive integer, -1 for infinity.
606
; AssertionFailLimit = 1
607
 
608
; Turn on/off PSL concurrent assertion pass log. Default is off.
609
; The flag does not affect SVA
610
; AssertionPassLog = 1
611
 
612
; Turn on/off PSL concurrent assertion fail log. Default is on.
613
; The flag does not affect SVA
614
; AssertionFailLog = 0
615
 
616
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
617
; 0 = Continue  1 = Break  2 = Exit
618
; AssertionFailAction = 1
619
 
620
; Turn on/off code coverage
621
; CodeCoverage = 0
622
 
623
; Count all code coverage condition and expression truth table rows that match.
624
; CoverCountAll = 1
625
 
626
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
627
; CoverEnable = 0
628
 
629
; Turn on/off PSL/SVA cover log.  Default is off.
630
; CoverLog = 1
631
 
632
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
633
; CoverAtLeast = 2
634
 
635
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
636
; Any positive integer, -1 for infinity.
637
; CoverLimit = 1
638
 
639
; Specify the coverage database filename.  Default is "" (i.e. database is NOT automatically saved on close).
640
; UCDBFilename = vsim.ucdb
641
 
642
; Specify the maximum limit for the number of Cross (bin) products reported
643
; in XML and UCDB report against a Cross. A warning is issued if the limit
644
; is crossed.
645
; MaxReportRhsSVCrossProducts = 1000
646
 
647
; Specify the override for the "auto_bin_max" option for the Covergroups.
648
; If not specified then value from Covergroup "option" is used.
649
; SVCoverpointAutoBinMax = 64
650
 
651
; Specify the override for the value of "cross_num_print_missing"
652
; option for the Cross in Covergroups. If not specified then value
653
; specified in the "option.cross_num_print_missing" is used. This
654
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
655
; value specified by user in source file and any SVCrossNumPrintMissingDefault
656
; specified in modelsim.ini.
657
; SVCrossNumPrintMissing = 0
658
 
659
; Set weight for all PSL/SVA cover directives.  Default is 1.
660
; CoverWeight = 2
661
 
662
; Check vsim plusargs.  Default is 0 (off).
663
; 0 = Don't check plusargs
664
; 1 = Warning on unrecognized plusarg
665
; 2 = Error and exit on unrecognized plusarg
666
; CheckPlusargs = 1
667
 
668
; Load the specified shared objects with the RTLD_GLOBAL flag.
669
; This gives global visibility to all symbols in the shared objects,
670
; meaning that subsequently loaded shared objects can bind to symbols
671
; in the global shared objects.  The list of shared objects should
672
; be whitespace delimited.  This option is not supported on the
673
; Windows or AIX platforms.
674
; GlobalSharedObjectList = example1.so example2.so example3.so
675
 
676
; Run the 0in tools from within the simulator.
677
; Default value set to 0. Please set it to 1 to invoke 0in.
678
; VsimZeroIn = 1
679
 
680
; Set the options to be passed to the 0in tools.
681
; Default value set to "". Please set it to appropriate options needed.
682
; VsimZeroInOptions = ""
683
 
684
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
685
; Sv_Seed = 0
686
 
687
; Maximum size of dynamic arrays that are resized during randomize().
688
; The default is 1000. A value of 0 indicates no limit.
689
; SolveArrayResizeMax = 1000
690
 
691
; Error message severity when randomize() failure is detected (SystemVerilog).
692
; The default is 0 (no error).
693
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
694
; SolveFailSeverity = 0
695
 
696
; Enable/disable debug information for randomize() failures (SystemVerilog).
697
; The default is 0 (disabled). Set to 1 to enable.
698
; SolveFailDebug = 0
699
 
700
; When SolveFailDebug is enabled, this value specifies the maximum number of
701
; constraint subsets that will be tested for conflicts.
702
; The default is 0 (no limit).
703
; SolveFailDebugLimit = 0
704
 
705
; When SolveFailDebug is eanbled, this value specifies the maximum size of
706
; constraint subsets that will be tested for conflicts.
707
; The default value is 0 (no limit).
708
; SolveFailDebugMaxSet = 0
709
 
710
; Specify random sequence compatiblity with a prior letter release. This
711
; option is used to get the same random sequences during simulation as
712
; as a prior letter release. Only prior letter releases (of the current
713
; number release) are allowed.
714
; Note: To achieve the same random sequences, solver optimizations and/or
715
; bug fixes introduced since the specified release may be disabled -
716
; yielding the performance / behavior of the prior release.
717
; Default value set to "" (random compatibility not required).
718
; SolveRev = ""
719
 
720
; Environment variable expansion of command line arguments has been depricated
721
; in favor shell level expansion.  Universal environment variable expansion
722
; inside -f files is support and continued support for MGC Location Maps provide
723
; alternative methods for handling flexible pathnames.
724
; The following line may be uncommented and the value set to 1 to re-enable this
725
; deprecated behavior.  The default value is 0.
726
; DeprecatedEnvironmentVariableExpansion = 0
727
 
728
; Retroactive Recording uses a limited number of private data channels in the WLF
729
; file.  Too many channels degrade WLF performance.  If the limit is reached,
730
; simulation ends with a fatal error.  You may change this limit as needed, but be
731
; aware of the implications of too many channels.  The value must be an integer
732
; greater than or equal to zero, where zero disables all retroactive recording.
733
; RetroChannelLimit = 20
734
 
735
; Options to give vopt when code coverage is turned on.
736
; Default is "+acc=lprnb -opt=-merge -opt=-suppressAlways"
737
; VoptCoverageOptions = +acc=lprnb -opt=-merge -opt=-suppressAlways
738
 
739
[lmc]
740
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
741
libsm = $MODEL_TECH/libsm.sl
742
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
743
; libsm = $MODEL_TECH/libsm.dll
744
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
745
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
746
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
747
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
748
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
749
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
750
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
751
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
752
;  Logic Modeling's SmartModel SWIFT software (Linux)
753
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
754
 
755
; The simulator's interface to Logic Modeling's hardware modeler SFI software
756
libhm = $MODEL_TECH/libhm.sl
757
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
758
; libhm = $MODEL_TECH/libhm.dll
759
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
760
; libsfi = /lib/hp700/libsfi.sl
761
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
762
; libsfi = /lib/rs6000/libsfi.a
763
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
764
; libsfi = /lib/sun4.solaris/libsfi.so
765
;  Logic Modeling's hardware modeler SFI software (Windows NT)
766
; libsfi = /lib/pcnt/lm_sfi.dll
767
;  Logic Modeling's hardware modeler SFI software (Linux)
768
; libsfi = /lib/linux/libsfi.so
769
 
770
[msg_system]
771
; Change a message severity or suppress a message.
772
; The format is:  = [,...]
773
; Examples:
774
;   note = 3009
775
;   warning = 3033
776
;   error = 3010,3016
777
;   fatal = 3016,3033
778
;   suppress = 3009,3016,3043
779
; The command verror  can be used to get the complete
780
; description of a message.
781
 
782
; Control transcripting of elaboration/runtime messages.
783
; The default is to have messages appear in the transcript and
784
; recorded in the wlf file (messages that are recorded in the
785
; wlf file can be viewed in the MsgViewer).  The other settings
786
; are to send messages only to the transcript or only to the
787
; wlf file.  The valid values are
788
;    both  {default}
789
;    tran  {transcript only}
790
;    wlf   {wlf file only}
791
; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.