OpenCores
URL https://opencores.org/ocsvn/ahb2wishbone/ahb2wishbone/trunk

Subversion Repositories ahb2wishbone

[/] [ahb2wishbone/] [trunk/] [svtb/] [avm_svtb/] [ahb_wb_top.sv] - Blame information for rev 10

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 toomuch
//////////////////////////////////////////////////////////////////////////////////////////////////////////////////
2
//*****************************************************************************************************************
3
// Copyright (c) 2007 TooMuch Semiconductor Solutions Pvt Ltd.
4
//
5
//File name             :       ahb_wb_top.sv
6
//Designer              :       Sanjay kumar
7
//Date                  :       3rd Aug'2007
8
//Description           :       ahb_wb_top:Top module instantiating all components and scheduling tasks
9
//Revision              :       1.0
10
//*****************************************************************************************************************
11
///////////////////////////////////////////////////////////////////////////////////////////////////////////////////
12 5 toomuch
// top module
13
`include "../../src/ahb2wb.v"
14
`timescale 1ns/ 1ps
15
 
16
import ahb_wb_pkg::*;
17
import global::*;
18
 
19
module ahb_wb_top;
20
 
21
logic clk ='b0;
22
logic reset ='b1;
23
 
24
        ahb_wb_if inf1(); // interface instance from ahb to bridge
25
        stimulus_gen TB_M(inf1.master_ab,clk,reset); // AHB master instance
26
 
27
        ahb2wb DUT ( // interface connection from AHB(stimulus gen) to bridge
28
                   .hclk(inf1.slave_ab.hclk),
29
                   .hresetn(inf1.slave_ab.hresetn),
30
                   .haddr(inf1.slave_ab.haddr),
31
                   .hwdata(inf1.slave_ab.hwdata),
32
                   .htrans(inf1.slave_ab.htrans),
33
                   .hburst(inf1.slave_ab.hburst),
34
                   .hsize(inf1.slave_ab.hsize),
35
                   .hwrite(inf1.slave_ab.hwrite),
36
                   .hsel(inf1.slave_ab.hsel),
37
                   .hready(inf1.slave_ab.hready),
38
                   .hrdata(inf1.slave_ab.hrdata),
39
                   .hresp(inf1.slave_ab.hresp),
40
                   // interface connection from bridge to wishbone(memory)
41
                   .cyc_o(inf1.master_bw.cyc_o),
42
                   .stb_o(inf1.master_bw.stb_o),
43
                   .we_o(inf1.master_bw.we_o),
44
                   .dat_o(inf1.master_bw.dat_o),
45
                   .adr_o(inf1.master_bw.adr_o),
46
                   .ack_i(inf1.master_bw.ack_i),
47
                   .dat_i(inf1.master_bw.dat_i),
48
                   .clk_i(inf1.master_bw.clk_i),
49
                   .rst_i(inf1.master_bw.rst_i));
50
        ahb_wb_env env; // enviornment class
51
 
52
// reset generation
53
initial
54
        begin
55
                env = new(inf1);
56
                $display ("\n@%0d:Testcase begin",$time);
57
                #13  reset='b0;
58
                #33 reset ='b1;
59
                $display ("\n@%0d:Reset done",$time);
60
                TB_M.initial_setup();
61
                $display ("\n@%0d:Initial setup done",$time);
62
                env.do_test();
63
                $display ("\n@%0d do_test over",$time);
64
                $finish;
65
 
66
        end
67
 
68
//clock generation
69
initial
70
        forever
71
                #(cyc_prd/2)  clk = ~clk;
72
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.